csiborgtools/notebooks/field_sample.ipynb

7221 lines
2.0 MiB
Plaintext
Raw Normal View History

Overlap fixing and more (#107) * Update README * Update density field reader * Update name of SDSSxALFAFA * Fix quick bug * Add little fixes * Update README * Put back fit_init * Add paths to initial snapshots * Add export * Remove some choices * Edit README * Add Jens' comments * Organize imports * Rename snapshot * Add additional print statement * Add paths to initial snapshots * Add masses to the initial files * Add normalization * Edit README * Update README * Fix bug in CSiBORG1 so that does not read fof_00001 * Edit README * Edit README * Overwrite comments * Add paths to init lag * Fix Quijote path * Add lagpatch * Edit submits * Update README * Fix numpy int problem * Update README * Add a flag to keep the snapshots open when fitting * Add a flag to keep snapshots open * Comment out some path issue * Keep snapshots open * Access directly snasphot * Add lagpatch for CSiBORG2 * Add treatment of x-z coordinates flipping * Add radial velocity field loader * Update README * Add lagpatch to Quijote * Fix typo * Add setter * Fix typo * Update README * Add output halo cat as ASCII * Add import * Add halo plot * Update README * Add evaluating field at radial distanfe * Add field shell evaluation * Add enclosed mass computation * Add BORG2 import * Add BORG boxsize * Add BORG paths * Edit run * Add BORG2 overdensity field * Add bulk flow clauclation * Update README * Add new plots * Add nbs * Edit paper * Update plotting * Fix overlap paths to contain simname * Add normalization of positions * Add default paths to CSiBORG1 * Add overlap path simname * Fix little things * Add CSiBORG2 catalogue * Update README * Add import * Add TNG density field constructor * Add TNG density * Add draft of calculating BORG ACL * Fix bug * Add ACL of enclosed density * Add nmean acl * Add galaxy bias calculation * Add BORG acl notebook * Add enclosed mass calculation * Add TNG300-1 dir * Add TNG300 and BORG1 dir * Update nb
2024-01-30 17:14:07 +01:00
{
"cells": [
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": [
"import numpy as np\n",
"import matplotlib.pyplot as plt\n",
"from h5py import File\n",
"from scipy.stats import spearmanr\n",
"\n",
"import csiborgtools\n",
"\n",
"%matplotlib inline\n",
"%load_ext autoreload\n",
"%autoreload 2"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": [
"paths = csiborgtools.read.Paths(**csiborgtools.paths_glamdring)\n",
"\n",
"# d = np.load(paths.field_interpolated(\"SDSS\", \"csiborg2_main\", 16817, \"density\", \"SPH\", 1024))"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": [
"cat = csiborgtools.read.CSiBORG1Cat"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 4,
"metadata": {},
"outputs": [
{
"name": "stderr",
"output_type": "stream",
"text": [
"WARNING: VerifyWarning: It is strongly recommended that column names contain only upper and lower-case ASCII letters, digits, or underscores for maximum compatibility with other software (got '#AGC'). [astropy.io.fits.column]\n",
"WARNING: VerifyWarning: It is strongly recommended that column names contain only upper and lower-case ASCII letters, digits, or underscores for maximum compatibility with other software (got '#AGCNr'). [astropy.io.fits.column]\n",
"/mnt/zfsusers/rstiskalek/csiborgtools/csiborgtools/read/obs.py:367: UserWarning: Key `IN_DR7_LSS` found in both `routine_keys` and `fits_keys`. Returning `routine_keys` value.\n",
" warn(f\"Key `{key}` found in both `routine_keys` and `fits_keys`. \"\n"
]
}
],
"source": [
"survey = csiborgtools.SDSSxALFALFA()()"
]
},
{
"cell_type": "code",
"execution_count": 11,
"metadata": {},
"outputs": [],
"source": [
"survey.name = \"SDSSxALFALFA\""
]
},
{
"cell_type": "code",
"execution_count": 13,
"metadata": {},
"outputs": [
{
"name": "stderr",
"output_type": "stream",
"text": [
"Reading fields: 100%|██████████| 20/20 [00:51<00:00, 2.58s/it]\n"
]
}
],
"source": [
"fval, smooth_scales = csiborgtools.summary.read_interpolated_field(survey, \"csiborg2_main\", \"density\", \"SPH\", 1024, paths)"
]
},
{
"cell_type": "code",
"execution_count": 27,
"metadata": {},
"outputs": [
{
"name": "stderr",
"output_type": "stream",
"text": [
"Reading fields: 100%|██████████| 20/20 [00:42<00:00, 2.10s/it]\n"
]
}
],
"source": [
"fval_rand, smooth_scales = csiborgtools.summary.read_interpolated_field(survey, \"csiborg2_random\", \"density\", \"SPH\", 1024, paths)"
]
},
{
"cell_type": "code",
"execution_count": 51,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"['SERSIC_ABSMAG_F',\n",
" 'SERSIC_ABSMAG_N',\n",
" 'SERSIC_ABSMAG_u',\n",
" 'SERSIC_ABSMAG_g',\n",
" 'SERSIC_ABSMAG_r',\n",
" 'SERSIC_ABSMAG_i',\n",
" 'SERSIC_ABSMAG_z',\n",
" 'ELPETRO_ABSMAG_F',\n",
" 'ELPETRO_ABSMAG_N',\n",
" 'ELPETRO_ABSMAG_u',\n",
" 'ELPETRO_ABSMAG_g',\n",
" 'ELPETRO_ABSMAG_r',\n",
" 'ELPETRO_ABSMAG_i',\n",
" 'ELPETRO_ABSMAG_z',\n",
" 'SERSIC_APPMAG_F',\n",
" 'SERSIC_APPMAG_N',\n",
" 'SERSIC_APPMAG_u',\n",
" 'SERSIC_APPMAG_g',\n",
" 'SERSIC_APPMAG_r',\n",
" 'SERSIC_APPMAG_i',\n",
" 'SERSIC_APPMAG_z',\n",
" 'ELPETRO_APPMAG_F',\n",
" 'ELPETRO_APPMAG_N',\n",
" 'ELPETRO_APPMAG_u',\n",
" 'ELPETRO_APPMAG_g',\n",
" 'ELPETRO_APPMAG_r',\n",
" 'ELPETRO_APPMAG_i',\n",
" 'ELPETRO_APPMAG_z',\n",
" 'SERSIC_COL_FF',\n",
" 'SERSIC_COL_FN',\n",
" 'SERSIC_COL_Fu',\n",
" 'SERSIC_COL_Fg',\n",
" 'SERSIC_COL_Fr',\n",
" 'SERSIC_COL_Fi',\n",
" 'SERSIC_COL_Fz',\n",
" 'SERSIC_COL_NF',\n",
" 'SERSIC_COL_NN',\n",
" 'SERSIC_COL_Nu',\n",
" 'SERSIC_COL_Ng',\n",
" 'SERSIC_COL_Nr',\n",
" 'SERSIC_COL_Ni',\n",
" 'SERSIC_COL_Nz',\n",
" 'SERSIC_COL_uF',\n",
" 'SERSIC_COL_uN',\n",
" 'SERSIC_COL_uu',\n",
" 'SERSIC_COL_ug',\n",
" 'SERSIC_COL_ur',\n",
" 'SERSIC_COL_ui',\n",
" 'SERSIC_COL_uz',\n",
" 'SERSIC_COL_gF',\n",
" 'SERSIC_COL_gN',\n",
" 'SERSIC_COL_gu',\n",
" 'SERSIC_COL_gg',\n",
" 'SERSIC_COL_gr',\n",
" 'SERSIC_COL_gi',\n",
" 'SERSIC_COL_gz',\n",
" 'SERSIC_COL_rF',\n",
" 'SERSIC_COL_rN',\n",
" 'SERSIC_COL_ru',\n",
" 'SERSIC_COL_rg',\n",
" 'SERSIC_COL_rr',\n",
" 'SERSIC_COL_ri',\n",
" 'SERSIC_COL_rz',\n",
" 'SERSIC_COL_iF',\n",
" 'SERSIC_COL_iN',\n",
" 'SERSIC_COL_iu',\n",
" 'SERSIC_COL_ig',\n",
" 'SERSIC_COL_ir',\n",
" 'SERSIC_COL_ii',\n",
" 'SERSIC_COL_iz',\n",
" 'SERSIC_COL_zF',\n",
" 'SERSIC_COL_zN',\n",
" 'SERSIC_COL_zu',\n",
" 'SERSIC_COL_zg',\n",
" 'SERSIC_COL_zr',\n",
" 'SERSIC_COL_zi',\n",
" 'SERSIC_COL_zz',\n",
" 'ELPETRO_COL_FF',\n",
" 'ELPETRO_COL_FN',\n",
" 'ELPETRO_COL_Fu',\n",
" 'ELPETRO_COL_Fg',\n",
" 'ELPETRO_COL_Fr',\n",
" 'ELPETRO_COL_Fi',\n",
" 'ELPETRO_COL_Fz',\n",
" 'ELPETRO_COL_NF',\n",
" 'ELPETRO_COL_NN',\n",
" 'ELPETRO_COL_Nu',\n",
" 'ELPETRO_COL_Ng',\n",
" 'ELPETRO_COL_Nr',\n",
" 'ELPETRO_COL_Ni',\n",
" 'ELPETRO_COL_Nz',\n",
" 'ELPETRO_COL_uF',\n",
" 'ELPETRO_COL_uN',\n",
" 'ELPETRO_COL_uu',\n",
" 'ELPETRO_COL_ug',\n",
" 'ELPETRO_COL_ur',\n",
" 'ELPETRO_COL_ui',\n",
" 'ELPETRO_COL_uz',\n",
" 'ELPETRO_COL_gF',\n",
" 'ELPETRO_COL_gN',\n",
" 'ELPETRO_COL_gu',\n",
" 'ELPETRO_COL_gg',\n",
" 'ELPETRO_COL_gr',\n",
" 'ELPETRO_COL_gi',\n",
" 'ELPETRO_COL_gz',\n",
" 'ELPETRO_COL_rF',\n",
" 'ELPETRO_COL_rN',\n",
" 'ELPETRO_COL_ru',\n",
" 'ELPETRO_COL_rg',\n",
" 'ELPETRO_COL_rr',\n",
" 'ELPETRO_COL_ri',\n",
" 'ELPETRO_COL_rz',\n",
" 'ELPETRO_COL_iF',\n",
" 'ELPETRO_COL_iN',\n",
" 'ELPETRO_COL_iu',\n",
" 'ELPETRO_COL_ig',\n",
" 'ELPETRO_COL_ir',\n",
" 'ELPETRO_COL_ii',\n",
" 'ELPETRO_COL_iz',\n",
" 'ELPETRO_COL_zF',\n",
" 'ELPETRO_COL_zN',\n",
" 'ELPETRO_COL_zu',\n",
" 'ELPETRO_COL_zg',\n",
" 'ELPETRO_COL_zr',\n",
" 'ELPETRO_COL_zi',\n",
" 'ELPETRO_COL_zz',\n",
" 'DIST',\n",
" 'DIST_UNCORRECTED',\n",
" 'SERSIC_MASS',\n",
" 'ELPETRO_MASS',\n",
" 'SERSIC_MTOL_F',\n",
" 'SERSIC_MTOL_N',\n",
" 'SERSIC_MTOL_u',\n",
" 'SERSIC_MTOL_g',\n",
" 'SERSIC_MTOL_r',\n",
" 'SERSIC_MTOL_i',\n",
" 'SERSIC_MTOL_z',\n",
" 'ELPETRO_MTOL_F',\n",
" 'ELPETRO_MTOL_N',\n",
" 'ELPETRO_MTOL_u',\n",
" 'ELPETRO_MTOL_g',\n",
" 'ELPETRO_MTOL_r',\n",
" 'ELPETRO_MTOL_i',\n",
" 'ELPETRO_MTOL_z',\n",
" 'IN_DR7_LSS',\n",
" 'IAUNAME',\n",
" 'SUBDIR',\n",
" 'RA_1',\n",
" 'DEC_1',\n",
" 'ISDSS',\n",
" 'INED',\n",
" 'ISIXDF',\n",
" 'IALFALFA',\n",
" 'IZCAT',\n",
" 'ITWODF',\n",
" 'MAG',\n",
" 'Z',\n",
" 'ZSRC',\n",
" 'SIZE',\n",
" 'RUN',\n",
" 'CAMCOL',\n",
" 'FIELD',\n",
" 'RERUN',\n",
" 'XPOS',\n",
" 'YPOS',\n",
" 'NSAID',\n",
" 'ZDIST',\n",
" 'SERSIC_NMGY',\n",
" 'SERSIC_NMGY_IVAR',\n",
" 'SERSIC_OK',\n",
" 'SERSIC_RNMGY',\n",
" 'SERSIC_ABSMAG',\n",
" 'SERSIC_AMIVAR',\n",
" 'EXTINCTION',\n",
" 'SERSIC_KCORRECT',\n",
" 'SERSIC_KCOEFF',\n",
" 'SERSIC_MTOL',\n",
" 'SERSIC_B300',\n",
" 'SERSIC_B1000',\n",
" 'SERSIC_METS',\n",
" 'SERSIC_MASS',\n",
" 'XCEN',\n",
" 'YCEN',\n",
" 'NPROF',\n",
" 'PROFMEAN',\n",
" 'PROFMEAN_IVAR',\n",
" 'QSTOKES',\n",
" 'USTOKES',\n",
" 'BASTOKES',\n",
" 'PHISTOKES',\n",
" 'PETRO_FLUX',\n",
" 'PETRO_FLUX_IVAR',\n",
" 'FIBER_FLUX',\n",
" 'FIBER_FLUX_IVAR',\n",
" 'PETRO_BA50',\n",
" 'PETRO_PHI50',\n",
" 'PETRO_BA90',\n",
" 'PETRO_PHI90',\n",
" 'SERSIC_FLUX',\n",
" 'SERSIC_FLUX_IVAR',\n",
" 'SERSIC_N',\n",
" 'SERSIC_BA',\n",
" 'SERSIC_PHI',\n",
" 'ASYMMETRY',\n",
" 'CLUMPY',\n",
" 'DFLAGS',\n",
" 'AID',\n",
" 'PID',\n",
" 'DVERSION',\n",
" 'PROFTHETA',\n",
" 'PETRO_THETA',\n",
" 'PETRO_TH50',\n",
" 'PETRO_TH90',\n",
" 'SERSIC_TH50',\n",
" 'PLATE',\n",
" 'FIBERID',\n",
" 'MJD',\n",
" 'RACAT',\n",
" 'DECCAT',\n",
" 'ZSDSSLINE',\n",
" 'SURVEY',\n",
" 'PROGRAMNAME',\n",
" 'PLATEQUALITY',\n",
" 'TILE',\n",
" 'PLUG_RA',\n",
" 'PLUG_DEC',\n",
" 'ELPETRO_BA',\n",
" 'ELPETRO_PHI',\n",
" 'ELPETRO_FLUX_R',\n",
" 'ELPETRO_FLUX_IVAR_R',\n",
" 'ELPETRO_THETA_R',\n",
" 'ELPETRO_TH50_R',\n",
" 'ELPETRO_TH90_R',\n",
" 'ELPETRO_THETA',\n",
" 'ELPETRO_FLUX',\n",
" 'ELPETRO_FLUX_IVAR',\n",
" 'ELPETRO_TH50',\n",
" 'ELPETRO_TH90',\n",
" 'ELPETRO_APCORR_R',\n",
" 'ELPETRO_APCORR',\n",
" 'ELPETRO_APCORR_SELF',\n",
" 'ELPETRO_NMGY',\n",
" 'ELPETRO_NMGY_IVAR',\n",
" 'ELPETRO_OK',\n",
" 'ELPETRO_RNMGY',\n",
" 'ELPETRO_ABSMAG',\n",
" 'ELPETRO_AMIVAR',\n",
" 'ELPETRO_KCORRECT',\n",
" 'ELPETRO_KCOEFF',\n",
" 'ELPETRO_MASS',\n",
" 'ELPETRO_MTOL',\n",
" 'ELPETRO_B300',\n",
" 'ELPETRO_B1000',\n",
" 'ELPETRO_METS',\n",
" 'IN_DR7_LSS',\n",
" '#AGC',\n",
" 'objID',\n",
" 'parentID',\n",
" 'specObjID',\n",
" 'ra_2',\n",
" 'dec_2',\n",
" 'modelMag_u',\n",
" 'modelMag_g',\n",
" 'modelMag_r',\n",
" 'modelMag_i',\n",
" 'modelMag_z',\n",
" 'modelMagErr_u',\n",
" 'modelMagErr_g',\n",
" 'modelMagErr_r',\n",
" 'modelMagErr_i',\n",
" 'modelMagErr_z',\n",
" 'cModelMag_u',\n",
" 'cModelMag_g',\n",
" 'cModelMag_r',\n",
" 'cModelMag_i',\n",
" 'cModelMag_z',\n",
" 'cModelMagErr_u',\n",
" 'cModelMagErr_g',\n",
" 'cModelMagErr_r',\n",
" 'cModelMagErr_i',\n",
" 'cModelMagErr_z',\n",
" 'petroMag_u',\n",
" 'petroMag_g',\n",
" 'petroMag_r(28)',\n",
" 'petroMag_i',\n",
" 'petroMag_z',\n",
" 'petroMagErr_u',\n",
" 'petroMagErr_g',\n",
" 'petroMagErr_r',\n",
" 'petroMagErr_i',\n",
" 'petroMagErr_z',\n",
" 'petroRad_u',\n",
" 'petroRad_g',\n",
" 'petroRad_r',\n",
" 'petroRad_i',\n",
" 'petroRad_z',\n",
" 'petroR50_g',\n",
" 'petroR50_r',\n",
" 'petroR50_i',\n",
" 'petroR90_g',\n",
" 'petroR90_r',\n",
" 'petroR90_i',\n",
" 'extinction_u',\n",
" 'extinction_g',\n",
" 'extinction_r',\n",
" 'extinction_i',\n",
" 'extinction_z',\n",
" 'expAB_g',\n",
" 'expAB_r',\n",
" 'expAB_i',\n",
" 'expMag_g',\n",
" 'expMag_r',\n",
" 'expMag_i',\n",
" 'flags_u',\n",
" 'flags_g',\n",
" 'flags_r',\n",
" 'flags_i',\n",
" 'flags_z',\n",
" 'flags',\n",
" 'lnLExp_r',\n",
" 'lnLDeV_r',\n",
" 'type',\n",
" 'fracDev_g',\n",
" 'fracDev_r',\n",
" 'fracDev_i',\n",
" 'expRad_g',\n",
" 'expRad_r',\n",
" 'expRad_i',\n",
" '#AGCNr',\n",
" 'Name',\n",
" 'RAdeg_HI',\n",
" 'DECdeg_HI',\n",
" 'RAdeg_OC',\n",
" 'DECdeg_OC',\n",
" 'Vhelio',\n",
" 'W50',\n",
" 'sigW',\n",
" 'W20',\n",
" 'HIflux',\n",
" 'sigflux',\n",
" 'SNR',\n",
" 'RMS',\n",
" 'Dist',\n",
" 'sigDist',\n",
" 'logMH',\n",
" 'siglogMH',\n",
" 'HIcode',\n",
" 'Separation',\n",
" 'INDEX']"
]
},
"execution_count": 51,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"survey.keys"
]
},
{
"cell_type": "code",
"execution_count": 90,
"metadata": {},
"outputs": [
{
"name": "stderr",
"output_type": "stream",
"text": [
"/mnt/zfsusers/rstiskalek/csiborgtools/csiborgtools/read/obs.py:374: UserWarning: Returning a FITS property `SERSIC_B300`. Be careful about little h!\n",
" warn(f\"Returning a FITS property `{key}`. \"\n"
]
}
],
"source": [
"col = np.log10(survey[\"SERSIC_B300\"])"
]
},
{
"cell_type": "code",
"execution_count": 91,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"(20, 17737, 5)"
]
},
"execution_count": 91,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"fval.shape"
]
},
{
"cell_type": "code",
"execution_count": 96,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"8.0\n",
"MAIN SignificanceResult(statistic=0.01800564415653568, pvalue=0.01648383065884433)\n",
"RAND SignificanceResult(statistic=-0.09834925186515843, pvalue=2.2430402522333184e-39)\n"
]
},
{
"data": {
"image/png": "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
"text/plain": [
"<Figure size 640x480 with 1 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"k = 3\n",
"n = 5\n",
"print(smooth_scales[k])\n",
"print(\"MAIN \", spearmanr(col, fval[n, :, k]))\n",
"print(\"RAND \", spearmanr(col, fval_rand[n, :, k]))\n",
"\n",
"plt.figure()\n",
"plt.scatter(col, fval[n, :, k], s=1)\n",
"# plt.scatter(col, fval_rand[n, :, k], s=1)\n",
"plt.yscale(\"log\")\n",
"plt.show()"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 100,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"MAIN SignificanceResult(statistic=0.01727582463129473, pvalue=0.021402398915955124)\n",
"RAND SignificanceResult(statistic=0.02077892643371117, pvalue=0.00564970605187618)\n"
]
},
{
"data": {
"image/png": "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
"text/plain": [
"<Figure size 640x480 with 1 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"k = 3\n",
"y1 = np.mean(fval[:, :, k], axis=0)\n",
"y2 = np.mean(fval_rand[:, :, k], axis=0)\n",
"\n",
"\n",
"print(\"MAIN \", spearmanr(col, y1))\n",
"print(\"RAND \", spearmanr(col, y2))\n",
"\n",
"plt.figure()\n",
"plt.scatter(col, y1, s=1)\n",
"plt.yscale(\"log\")\n",
"plt.show()"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 10,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"(22478,)"
]
},
"execution_count": 10,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"survey.selection_mask.shape"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": [
"paths.field_interpolated()"
]
},
{
"cell_type": "code",
"execution_count": 4,
"metadata": {},
"outputs": [],
"source": [
"radvel_path = paths.field(\"radvel\", \"SPH\", 1024, 16217, \"csiborg2_main\")"
]
},
{
"cell_type": "code",
"execution_count": 9,
"metadata": {},
"outputs": [],
"source": [
"d = np.load(radvel_path)"
]
},
{
"cell_type": "code",
"execution_count": 8,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"4.1G\t/mnt/extraspace/rstiskalek/csiborg_postprocessing/environment/radvel_csiborg2_main_SPH_16217_1024.npy\n"
]
}
],
"source": [
"!du -h /mnt/extraspace/rstiskalek/csiborg_postprocessing/environment/radvel_csiborg2_main_SPH_16217_1024.npy"
]
},
{
"cell_type": "code",
"execution_count": 5,
"metadata": {},
"outputs": [],
"source": [
"# x1 = d[\"val\"]"
]
},
{
"cell_type": "code",
"execution_count": 7,
"metadata": {},
"outputs": [],
"source": [
"x2 = d[\"val\"]"
]
},
{
"cell_type": "code",
"execution_count": 22,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"array([ 0., 2., 4., 8., 16.])"
]
},
"execution_count": 22,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"d[\"smooth_scales\"]"
]
},
{
"cell_type": "code",
"execution_count": 29,
"metadata": {},
"outputs": [],
"source": [
"k = -1\n",
"\n",
"m = np.isfinite(x1[:, k]) & np.isfinite(x2[:, k])"
]
},
{
"cell_type": "code",
"execution_count": 30,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"SignificanceResult(statistic=0.9946039397587731, pvalue=0.0)\n"
]
},
{
"data": {
"image/png": "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
"text/plain": [
"<Figure size 640x480 with 1 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"print(spearmanr(x1[m, k], x2[m, k]))\n",
"\n",
"plt.figure()\n",
"plt.scatter(x1[m, k], x2[m, k], s=1)\n",
"plt.xscale(\"log\")\n",
"plt.yscale(\"log\")\n",
"plt.show()"
]
},
{
"cell_type": "code",
"execution_count": 13,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"array([413.8776 , 36.502567, 42.72512 , ..., 86.33546 , 46.866375,\n",
" 16.672348], dtype=float32)"
]
},
"execution_count": 13,
"metadata": {},
"output_type": "execute_result"
}
],
"source": []
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 9,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"(641409, 5)"
]
},
"execution_count": 9,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"x1.shape"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 4,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"array([[[38.309074, 37.35447 , 33.675297, ..., 38.631912, 37.806564,\n",
" 38.30662 ],\n",
" [36.995125, 35.11136 , 31.615524, ..., 41.488594, 39.508347,\n",
" 38.271282],\n",
" [35.551605, 32.419254, 28.316347, ..., 44.668957, 41.425102,\n",
" 38.46228 ],\n",
" ...,\n",
" [44.0867 , 46.94581 , 47.402313, ..., 38.326492, 38.893078,\n",
" 40.331207],\n",
" [39.54499 , 39.829464, 38.81296 , ..., 36.481358, 36.73762 ,\n",
" 37.66062 ],\n",
" [38.683113, 38.04618 , 35.1861 , ..., 36.864704, 36.749477,\n",
" 37.77259 ]],\n",
"\n",
" [[39.680145, 39.896065, 37.5201 , ..., 35.309006, 35.451256,\n",
" 37.65782 ],\n",
" [38.184814, 37.705723, 34.6373 , ..., 38.367054, 37.28385 ,\n",
" 37.66665 ],\n",
" [36.350132, 34.192383, 30.708448, ..., 42.486446, 39.748688,\n",
" 37.757904],\n",
" ...,\n",
" [48.202843, 51.6801 , 54.201912, ..., 37.41573 , 39.070263,\n",
" 41.48212 ],\n",
" [41.606823, 44.06209 , 46.2296 , ..., 33.731186, 35.463955,\n",
" 37.43514 ],\n",
" [40.023647, 41.03199 , 39.59713 , ..., 33.46958 , 34.77913 ,\n",
" 37.458004]],\n",
"\n",
" [[42.269516, 44.800823, 43.88061 , ..., 31.999905, 33.81479 ,\n",
" 38.11813 ],\n",
" [40.689667, 41.8762 , 40.181072, ..., 35.19038 , 35.086533,\n",
" 38.080868],\n",
" [38.505177, 37.81341 , 35.218002, ..., 39.726883, 37.880917,\n",
" 37.98939 ],\n",
" ...,\n",
" [53.54201 , 58.9436 , 66.00325 , ..., 36.82529 , 41.091465,\n",
" 46.317104],\n",
" [44.265858, 50.393852, 55.040318, ..., 31.7586 , 34.622643,\n",
" 39.686398],\n",
" [42.591843, 46.13804 , 46.71531 , ..., 30.522701, 32.33912 ,\n",
" 37.310055]],\n",
"\n",
" ...,\n",
"\n",
" [[36.964626, 33.57419 , 29.586971, ..., 43.06893 , 41.11846 ,\n",
" 39.331432],\n",
" [36.032703, 32.74095 , 28.373114, ..., 43.962837, 41.521736,\n",
" 38.690216],\n",
" [35.184982, 31.26581 , 27.127985, ..., 44.944073, 42.05588 ,\n",
" 38.838474],\n",
" ...,\n",
" [38.095966, 37.35273 , 34.85196 , ..., 42.916054, 41.808567,\n",
" 40.2956 ],\n",
" [37.57452 , 35.121746, 32.29693 , ..., 42.38733 , 41.167664,\n",
" 39.764378],\n",
" [37.60174 , 34.37987 , 30.65371 , ..., 42.481983, 41.12721 ,\n",
" 39.814762]],\n",
"\n",
" [[36.984535, 33.885307, 29.960264, ..., 42.689564, 40.912434,\n",
" 39.279568],\n",
" [36.086666, 32.507336, 28.38868 , ..., 44.143845, 41.76999 ,\n",
" 38.934032],\n",
" [35.14676 , 31.207554, 26.969011, ..., 45.590717, 42.514576,\n",
" 39.190063],\n",
" ...,\n",
" [40.034714, 39.873127, 39.855125, ..., 40.560432, 40.166527,\n",
" 40.173508],\n",
" [37.800323, 36.153614, 33.418015, ..., 40.476524, 39.99206 ,\n",
" 39.203354],\n",
" [37.445255, 34.964134, 31.208267, ..., 41.27682 , 40.32644 ,\n",
" 39.46384 ]],\n",
"\n",
" [[37.365154, 35.074844, 31.241234, ..., 41.14966 , 39.78451 ,\n",
" 38.712948],\n",
" [36.41588 , 33.426365, 29.391582, ..., 43.479816, 41.18592 ,\n",
" 38.77536 ],\n",
" [35.295177, 31.421724, 27.27295 , ..., 45.680676, 42.432396,\n",
" 39.032627],\n",
" ...,\n",
" [40.50576 , 42.841057, 42.216366, ..., 39.10556 , 39.566757,\n",
" 40.411335],\n",
" [38.77279 , 37.562416, 35.394333, ..., 38.59305 , 38.3598 ,\n",
" 38.760056],\n",
" [37.811317, 36.106308, 32.836246, ..., 39.24028 , 38.665195,\n",
" 38.452446]]], dtype=float32)"
]
},
"execution_count": 4,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"field.density_field(\"SPH\", 1024)"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 206,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"Creating group to tree ID mapping...\n"
]
}
],
"source": [
"mreader = csiborgtools.read.CSiBORG2MergerTreeReader(16517, \"main\")"
]
},
{
"cell_type": "code",
"execution_count": 238,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"136.42772691506084"
]
},
"execution_count": 238,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"cat[\"dist\"][4]"
]
},
{
"cell_type": "code",
"execution_count": 251,
"metadata": {},
"outputs": [],
"source": [
"d = mreader.main_progenitor(3000)"
]
},
{
"cell_type": "code",
"execution_count": 252,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"0.07736389817838397"
]
},
"execution_count": 252,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"np.max(d[\"MaxNextProgenitorMass\"] / d[\"MainProgenitorMass\"])"
]
},
{
"cell_type": "code",
"execution_count": 253,
"metadata": {},
"outputs": [
{
"data": {
"image/png": "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
"text/plain": [
"<Figure size 640x480 with 1 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"plt.figure()\n",
"plt.plot(d[\"Redshift\"], d[\"MainProgenitorMass\"])\n",
"plt.plot(d[\"Redshift\"], d[\"MaxNextProgenitorMass\"])\n",
"\n",
"\n",
"plt.yscale(\"log\")\n",
"\n",
"plt.show()"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 202,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"0\n",
"702 98\n",
"-1 7\n",
"\n",
"1\n",
"1415 97\n",
"1075 98\n",
"\n",
"2\n",
"2142 96\n",
"1902 97\n",
"\n",
"3\n",
"2887 95\n",
"2602 96\n",
"\n",
"4\n",
"3642 94\n",
"3262 95\n",
"\n"
]
}
],
"source": [
"n = 0\n",
"\n",
"main_progenitor = tree[\"TreeMainProgenitor\"]\n",
"next_progenitor = tree[\"TreeNextProgenitor\"]\n",
"snapnum = tree[\"SnapNum\"]\n",
"\n",
"for i in range(5):\n",
"\n",
" print(i)\n",
" next_progenitor\n",
" # print(main_progenitor[n], snapnum[main_progenitor[n]])\n",
" # print(next_progenitor[n], snapnum[next_progenitor[n]])\n",
" print(\"\")\n",
" \n",
" \n",
" n = main_progenitor[n]"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 180,
"metadata": {},
"outputs": [],
"source": [
"# z, y = mreader.fof_progenitor(30)\n",
"d = mreader.main_progenitor(30)"
]
},
{
"cell_type": "code",
"execution_count": 181,
"metadata": {},
"outputs": [
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAAi0AAAGdCAYAAADey0OaAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMiwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy8pXeV/AAAACXBIWXMAAA9hAAAPYQGoP6dpAABDAElEQVR4nO3dd3xV9eH/8de5N4tABiGLkIRtIKwQCBCGgmIRLQVXrVVZbsFR1Co/v3XWYmvrqhEnQ6sFREDrwCoKCDJCQhhCCJsA2ZC9772/P5BYBIHADefem/fz8chDcu5631vqefu5n/P5GA6Hw4GIiIiIi7OYHUBERETkbKi0iIiIiFtQaRERERG3oNIiIiIibkGlRURERNyCSouIiIi4BZUWERERcQsqLSIiIuIWvMwO4Cx2u53Dhw8TEBCAYRhmxxEREZGz4HA4KCsrIyoqCovl9GMpHlNaDh8+TExMjNkxRERE5BxkZ2cTHR192vt4TGkJCAgAjr3pwMBAk9OIiIjI2SgtLSUmJqbhPH46bl9aUlJSSElJwWazARAYGKjSIiIi4mbOZmqH4SkbJpaWlhIUFERJSYlKi4iIiJtozPlbVw+JiIiIW1BpEREREbfg9nNaRETk7DkcDurr6xvmAYpcCN7e3lit1vN+HpUWEZFmora2lpycHCorK82OIs2MYRhER0fTqlWr83oelRYRkWbAbrezd+9erFYrUVFR+Pj4aCFOuSAcDgcFBQUcPHiQrl27nteIi0qLiEgzUFtbi91uJyYmBn9/f7PjSDMTFhbGvn37qKurO6/Soom4IiLNyJmWSRdpCs4a1dPfXhEREXELKi0iItKs7Nu3D8MwyMjIMDuKqdzxc1BpERERlzZx4kQMw+Cuu+466bYpU6ZgGAYTJ0486+eLiYkhJyeHnj17OjGl+/n557B8+XIMw6C4uNjcYKeh0iIiIi4vJiaGefPmUVVV1XCsurqaDz74gNjY2EY9l9VqJTIyEi+vC3styvE1clxFU30OTfk+3b60pKSkEB8fT1JSUpM8f73Nzp3vbWBh2kGOVNQ2yWuIiMjpJSYmEhMTw6JFixqOLVq0iNjYWPr27XvCfZcuXcrQoUMJDg6mTZs2/PrXv2b37t0Nt//8a5HjIwzLli2jf//++Pv7M3jwYHbs2PGLeY4/x7x58xg8eDB+fn707NmTFStWNNzn+PN+8cUX9OvXD19fX1atWkVNTQ333Xcf4eHh+Pn5MXToUFJTU094/k8++YSuXbvi5+fHiBEjmDt37kmjIKtWrWLYsGG0aNGCmJgY7rvvPioqKhpu79ChA3/5y1+YPHkyAQEBxMbG8uabb57yc9i3bx8jRowAoHXr1ieMXp0p7y+9z6bg9qVlypQpbNu27aT/wZ3lg/UH+PKHPB76cBOJz3zFtPkZfJxxiD0F5VTWuk5jFhFpLIfDQWVt/QX/Odd9eidPnszs2bMbfp81axaTJk066X4VFRVMmzaNDRs2sGzZMiwWC1dffTV2u/20z//YY4/xj3/8gw0bNuDl5cXkyZPPmOnhhx/mwQcfZOPGjSQnJzNmzBiKiopOuM+jjz7Kc889x/bt2+nduzd//OMf+eijj5g7dy7p6el06dKFUaNGceTIEQD27t3Lddddx7hx49i0aRN33nknjz322AnPuXv3bq644gquvfZaNm/ezPz581m1ahVTp0494X7/+Mc/6N+/Pxs3buSee+7h7rvvPmUZi4mJ4aOPPgJgx44d5OTk8PLLLwOcMe8vvc+moHVazuDGAbHsKahgzvf7AFi08RCLNh5quL1DG3/uuLgzvx/YuOFJERGzVdXZiH/8ywv+utueHoW/T+NPPzfffDPTp09n//79AKxevZp58+axfPnyE+537bXXnvD7rFmzCAsLY9u2baedx/Lss89yySWXAMdOwFdddRXV1dX4+fn94mOmTp3a8HozZ85k6dKlvPPOO/zxj39suM/TTz/N5ZdfDhwrVDNnzmTOnDmMHj0agLfeeouvvvqKd955h4cffpg33niDuLg4nn/+eQDi4uLYunUrzz77bMNzzpgxg5tuuokHHngAgK5du/LKK69wySWXMHPmzIbMV155Jffccw8AjzzyCC+++CLffvstcXFxJ7wPq9VKSEgIAOHh4QQHB5913lO9z6ai0nIG3lYLT/6mB9Ov7MaWgyV8sO4A6QeOcrikmtp6O/uKKvl/i7ewMquAe0Z0pnd0sNmRRUQ8UlhYGFdddRVz5szB4XBw1VVXERoaetL9du7cyeOPP866desoLCxsGGE5cODAaUvL/44OtG3bFoD8/PzTzplJTk5u+LOXlxf9+/dn+/btJ9ynf//+DX/evXs3dXV1DBkypOGYt7c3AwYMaHjcjh07TpryMGDAgBN+37RpE5s3b+b9999vOOZwOBpWPu7evftJ78kwDCIjI8nPz//F9/NzZ5P3VO+zqai0nCVfLyv9O4TQv0NIw7Hiylpmrd7HK8t2svSHXL7ansd7kwcwuMvJ/ycSEXE1LbytbHt6lCmve64mT57c8BVISkrKKe8zZswY2rdvz1tvvUVUVBR2u52ePXtSW3v6eYne3t4Nfz6+GNqZvlI6Gy1btjzv5/i58vJy7rzzTu67776TbvvfkvW/7wmOvS9nvKdTaYr3+XNuP6fFTMH+Pky7/CK+uH8YI+LCsNkd3P1+OttzSs2OJiJyRoZh4O/jdcF/zmd11CuuuILa2lrq6uoYNerkwlVUVMSOHTv4v//7Py677DK6d+/O0aNHz+djOq21a9c2/Lm+vp60tLSGUY5T6dy5Mz4+PqxevbrhWF1dHampqcTHxwPHvg7asGHDCY/7+bzNxMREtm3bRpcuXU768fHxOaf3cvxx/7sD+NnkvZBUWpyge9tAZt7cj8TYYEqq6rj7X2mapCsi0gSsVivbt29n27Ztp9zDpnXr1rRp04Y333yTXbt28c033zBt2rQmy5OSksLixYvJzMxkypQpHD169LQTeFu2bMndd9/Nww8/zNKlS9m2bRu33347lZWV3HrrrQDceeedZGZm8sgjj5CVlcWCBQuYM2cO8NMI0COPPML333/P1KlTycjIYOfOnXz88ccnTcRtjPbt22MYBp9++ikFBQWUl5efVd4LSaXFSfy8rcyeOIC2QX7sK6pkxueZZkcSEfFIgYGBBAYGnvI2i8XCvHnzSEtLo2fPnvzhD39omNDaFJ577jmee+45+vTpw6pVq/jkk09OOc/m54+59tprueWWW0hMTGTXrl18+eWXtG7dGoCOHTuycOFCFi1aRO/evZk5c2bD1UO+vr7AsbkqK1asICsri2HDhtG3b18ef/xxoqKizvm9tGvXjqeeeopHH32UiIiIhgJ0prwXkuE412vPXExpaSlBQUGUlJT84l/mC2HVzkJufmcdALMm9ufSbhGmZREROa66upq9e/fSsWPH014NI2dn3759dOzYkY0bN5KQkNDkr/fss8/y+uuvk52d3eSv1RRO9/evMedvjbQ42dCuoUwa0gGAhz/cTGF5jbmBRETE7bz22mukpqayZ88e3nvvPZ5//nkmTJhgdizTqbQ0gUeu6Ea3yACKKmp54pMfzI4jIiJuZufOnYwdO5b4+HieeeYZHnzwQZ588kmzY5lOXw81ka2HShibshqb3cHsSUmMiAs3O5KINGP6ekjMpK+HXFzPdkFMGtwBgMc/3kp1ne30DxAREZHTUmlpQg9cfhGRgX5kH6niX2v3mx1HRETEram0NKFWvl7ce1kXAN5ds1+7RIuI6TxkRoC4GWf9vVNpaWJX921HUAtvDhypZMhz3zBr1V6zI4lIM3R8OffKykqTk0hzdHwLhVMtCNgY2nuoifn7ePH2hP489Z8f2HqolGc+20Z8VCCDOrUxO5qINCNWq5Xg4OCGzfL8/f3Pazl9kbNlt9spKCjA398fL6/zqx0qLRdAUocQ/jN1KH9cuJkP0w7yzqq9Ki0icsFFRkYCNGqXXxFnsFgsxMbGnndRdvvSkpKSQkpKygkbPLkiwzC4tl80H6Yd1IaKImIKwzBo27Yt4eHh1NXVmR1
"text/plain": [
"<Figure size 640x480 with 1 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"plt.figure()\n",
"plt.plot(d[\"Redshift\"], d[\"MainProgenitorMass\"], label=\"Main progenitor\")\n",
"# plt.plot(z, y, label=\"FoF group\")\n",
"plt.legend()\n",
"plt.yscale(\"log\")\n",
"plt.show()"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 164,
"metadata": {},
"outputs": [
{
"data": {
"image/png": "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
"text/plain": [
"<Figure size 640x480 with 1 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"plt.figure()\n",
"plt.plot(y)\n",
"plt.yscale(\"log\")\n",
"plt.show()"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 132,
"metadata": {},
"outputs": [],
"source": [
"cat = csiborgtools.read.CSiBORG2Catalogue(16517, 99, \"main\")"
]
},
{
"cell_type": "code",
"execution_count": 136,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"array([2.9051551e+15, 1.6921947e+15, 1.3596260e+15, ..., 9.9620782e+10,\n",
" 9.9620782e+10, 9.9620782e+10], dtype=float32)"
]
},
"execution_count": 136,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"cat[\"totmass\"]"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 131,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"0 99\n",
"383 98\n",
"776 97\n",
"1176 96\n",
"1588 95\n",
"2012 94\n",
"2447 93\n",
"2888 92\n",
"3338 91\n",
"3788 90\n",
"4246 89\n",
"4718 88\n",
"5198 87\n",
"5683 86\n",
"6169 85\n",
"6657 84\n",
"7146 83\n",
"7633 82\n",
"8130 81\n",
"8651 80\n",
"9173 79\n",
"9723 78\n",
"10286 77\n",
"10856 76\n",
"11443 75\n",
"12041 74\n",
"12650 73\n",
"13267 72\n",
"13899 71\n",
"14536 70\n",
"15193 69\n",
"15866 68\n",
"16540 67\n",
"17215 66\n",
"17914 65\n",
"18637 64\n",
"19377 63\n",
"20128 62\n",
"20899 61\n",
"21685 60\n",
"22491 59\n",
"23311 58\n",
"24159 57\n",
"25150 56\n",
"26026 55\n",
"26897 54\n",
"27806 53\n",
"28738 52\n",
"29568 51\n",
"30531 50\n",
"31514 49\n",
"32513 48\n",
"33520 47\n",
"34554 46\n",
"35609 45\n",
"36684 44\n",
"37773 43\n",
"38860 42\n",
"39967 41\n",
"41089 40\n",
"42234 39\n",
"43403 38\n",
"44575 37\n",
"45766 36\n",
"46974 35\n",
"48177 34\n",
"49394 33\n",
"50614 32\n",
"51855 31\n",
"53100 30\n",
"54360 29\n",
"55601 28\n",
"56820 27\n",
"58039 26\n",
"59241 25\n",
"60419 24\n",
"61581 23\n",
"62730 22\n",
"63774 21\n",
"64754 20\n",
"65636 19\n",
"66424 18\n",
"67096 17\n",
"67683 16\n",
"68154 15\n",
"68525 14\n",
"68842 13\n",
"69008 12\n",
"69119 11\n",
"69177 10\n"
]
}
],
"source": [
"d1 = mreader.fof_progenitor(1)"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": [
"d1[\"Group_M_Crit200\"]"
]
},
{
"cell_type": "code",
"execution_count": 129,
"metadata": {},
"outputs": [
{
"data": {
"image/png": "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
"text/plain": [
"<Figure size 640x480 with 1 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"plt.figure()\n",
"plt.plot(d1[\"Redshift\"], d1[\"MainProgenitorMass\"])\n",
"# plt.plot(z1, m1)\n",
"plt.yscale(\"log\")\n",
"plt.show()"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 22,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"<KeysViewHDF5 ['Config', 'Header', 'Parameters', 'TreeHalos', 'TreeTable', 'TreeTimes']>\n"
]
}
],
"source": [
"f = h5py.File(paths.trees(16517, \"csiborg2_main\"), 'r')\n",
"print(f.keys()) "
]
},
{
"cell_type": "code",
"execution_count": 31,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"<KeysViewHDF5 ['GroupNr', 'Group_M_Crit200', 'SnapNum', 'SubhaloHalfmassRad', 'SubhaloIDMostbound', 'SubhaloLen', 'SubhaloMass', 'SubhaloNr', 'SubhaloPos', 'SubhaloSpin', 'SubhaloVel', 'SubhaloVelDisp', 'SubhaloVmax', 'SubhaloVmaxRad', 'TreeDescendant', 'TreeFirstDescendant', 'TreeFirstHaloInFOFgroup', 'TreeFirstProgenitor', 'TreeID', 'TreeIndex', 'TreeMainProgenitor', 'TreeNextDescendant', 'TreeNextHaloInFOFgroup', 'TreeNextProgenitor', 'TreeProgenitor']>"
]
},
"execution_count": 31,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"f[\"TreeHalos\"].keys()"
]
},
{
"cell_type": "code",
"execution_count": 35,
"metadata": {},
"outputs": [],
"source": [
"offset = f[\"TreeTable/StartOffset\"][:]\n",
"length = f[\"TreeTable/Length\"][:]\n",
"\n",
"\n",
"groupnr = f[\"TreeHalos/GroupNr\"][:]\n",
"snapnum = f[\"TreeHalos/SnapNum\"][:]\n",
"treeid = f[\"TreeHalos/TreeID\"][:]\n",
"\n",
"treeid[mask][groupnr[mask] == 300000]\n"
]
},
{
"cell_type": "code",
"execution_count": 48,
"metadata": {},
"outputs": [],
"source": [
"treeid = f[\"TreeHalos/TreeID\"][:]"
]
},
{
"cell_type": "code",
"execution_count": 43,
"metadata": {},
"outputs": [],
"source": [
"mask = snapnum==99"
]
},
{
"cell_type": "code",
"execution_count": 58,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"array([279606])"
]
},
"execution_count": 58,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"treeid[mask][groupnr[mask] == 300000]"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 41,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"<CSiBORG2Catalogue> (nsim = 16517, nsnap = 99, nhalo = 573522)"
]
},
"execution_count": 41,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"cat"
]
},
{
"cell_type": "code",
"execution_count": 39,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"148\n",
"85\n",
"68\n"
]
}
],
"source": [
"\n",
"for n in range(3):\n",
" i = offset[n]\n",
" j = i + length[n]\n",
" \n",
" \n",
" m = snapnum[i:j] == 99\n",
" \n",
" "
]
},
{
"cell_type": "code",
"execution_count": 24,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"<HDF5 dataset \"GroupNr\": shape (54136877,), type \"<i8\">"
]
},
"execution_count": 24,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"f[\"TreeHalos/GroupNr\"]"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 136,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"array([ 0, 118794, 187990, ..., 54136874, 54136875, 54136876])"
]
},
"execution_count": 136,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"f[\"TreeTable/StartOffset\"][:]"
]
},
{
"cell_type": "code",
"execution_count": 137,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"array([118794, 69196, 53723, ..., 1, 1, 1], dtype=int32)"
]
},
"execution_count": 137,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"f[\"TreeTable/Length\"][:]"
]
},
{
"cell_type": "code",
"execution_count": 138,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"GroupNr\n",
"Group_M_Crit200\n",
"SnapNum\n",
"SubhaloHalfmassRad\n",
"SubhaloIDMostbound\n",
"SubhaloLen\n",
"SubhaloMass\n",
"SubhaloNr\n",
"SubhaloPos\n",
"SubhaloSpin\n",
"SubhaloVel\n",
"SubhaloVelDisp\n",
"SubhaloVmax\n",
"SubhaloVmaxRad\n",
"TreeDescendant\n",
"TreeFirstDescendant\n",
"TreeFirstHaloInFOFgroup\n",
"TreeFirstProgenitor\n",
"TreeID\n",
"TreeIndex\n",
"TreeMainProgenitor\n",
"TreeNextDescendant\n",
"TreeNextHaloInFOFgroup\n",
"TreeNextProgenitor\n",
"TreeProgenitor\n"
]
}
],
"source": [
"for key in f[\"TreeHalos\"].keys():\n",
" print(key)"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 150,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"array([0.0044236 , 0.02276927, 0. , ..., 0. , 0. ,\n",
" 0. ], dtype=float32)"
]
},
"execution_count": 150,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"cat[\"GroupContamination\"]"
]
},
{
"cell_type": "code",
"execution_count": 147,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"0 99\n",
"1 99\n",
"2 99\n",
"3 99\n",
"4 99\n",
"5 99\n",
"6 99\n",
"7 99\n",
"8 99\n",
"9 99\n",
"10 99\n",
"11 99\n",
"12 99\n",
"13 99\n",
"14 99\n",
"15 99\n",
"16 99\n",
"17 99\n",
"18 99\n",
"19 99\n",
"20 99\n",
"21 99\n",
"22 99\n",
"23 99\n",
"24 99\n",
"25 99\n",
"26 99\n",
"27 99\n",
"28 99\n",
"29 99\n",
"30 99\n",
"31 99\n",
"32 99\n",
"33 99\n",
"34 99\n",
"35 99\n",
"36 99\n",
"37 99\n",
"38 99\n",
"39 99\n",
"40 99\n",
"41 99\n",
"42 99\n",
"43 99\n",
"44 99\n",
"45 99\n",
"46 99\n",
"47 99\n",
"48 99\n",
"49 99\n",
"50 99\n",
"51 99\n",
"52 99\n",
"53 99\n",
"54 99\n",
"55 99\n",
"56 99\n",
"57 99\n",
"58 99\n",
"59 99\n",
"60 99\n",
"61 99\n",
"62 99\n",
"63 99\n",
"64 99\n",
"65 99\n",
"66 99\n",
"67 99\n",
"68 99\n",
"69 99\n",
"70 99\n",
"71 99\n",
"72 99\n",
"73 99\n",
"74 99\n",
"75 99\n",
"76 99\n",
"77 99\n",
"78 99\n",
"79 99\n",
"80 99\n",
"81 99\n",
"82 99\n",
"83 99\n",
"84 99\n",
"85 99\n",
"86 99\n",
"87 99\n",
"88 99\n",
"89 99\n",
"90 99\n",
"91 99\n",
"92 99\n",
"93 99\n",
"94 99\n",
"95 99\n",
"96 99\n",
"97 99\n",
"98 99\n",
"99 99\n",
"100 99\n",
"101 99\n",
"102 99\n",
"103 99\n",
"104 99\n",
"105 99\n",
"106 99\n",
"107 99\n",
"108 99\n",
"109 99\n",
"110 99\n",
"111 99\n",
"112 99\n",
"113 99\n",
"114 99\n",
"115 99\n",
"116 99\n",
"117 99\n",
"118 99\n",
"119 99\n",
"120 99\n",
"121 99\n",
"122 99\n",
"123 99\n",
"124 99\n",
"125 99\n",
"126 99\n",
"127 99\n",
"128 99\n",
"129 99\n",
"130 99\n",
"131 99\n",
"132 99\n",
"133 99\n",
"134 99\n",
"135 99\n",
"136 99\n",
"137 99\n",
"138 99\n",
"139 99\n",
"140 99\n",
"141 99\n",
"142 99\n",
"143 99\n",
"144 99\n",
"145 99\n",
"146 99\n",
"147 99\n",
"148 99\n",
"149 99\n",
"150 99\n",
"151 99\n",
"152 99\n",
"153 99\n",
"154 99\n",
"155 99\n",
"156 99\n",
"157 99\n",
"158 99\n",
"159 99\n",
"160 99\n",
"161 99\n",
"162 99\n",
"163 99\n",
"164 99\n",
"165 99\n",
"166 99\n",
"167 99\n",
"168 99\n",
"169 99\n",
"170 99\n",
"171 99\n",
"172 99\n",
"173 99\n",
"174 99\n",
"175 99\n",
"176 99\n",
"177 99\n",
"178 99\n",
"179 99\n",
"180 99\n",
"181 99\n",
"182 99\n",
"183 99\n",
"184 99\n",
"185 99\n",
"186 99\n",
"187 99\n",
"188 99\n",
"189 99\n",
"190 99\n",
"191 99\n",
"192 99\n",
"193 99\n",
"194 99\n",
"195 99\n",
"196 99\n",
"197 99\n",
"198 99\n",
"199 99\n",
"200 99\n",
"201 99\n",
"202 99\n",
"203 99\n",
"204 99\n",
"205 99\n",
"206 99\n",
"207 99\n",
"208 99\n",
"209 99\n",
"210 99\n",
"211 99\n",
"212 99\n",
"213 99\n",
"214 99\n",
"215 99\n",
"216 99\n",
"217 99\n",
"218 99\n",
"219 99\n",
"220 99\n",
"221 99\n",
"222 99\n",
"223 99\n",
"224 99\n",
"225 99\n",
"226 99\n",
"227 99\n",
"228 99\n",
"229 99\n",
"230 99\n",
"231 99\n",
"232 99\n",
"233 99\n",
"234 99\n",
"235 99\n",
"236 99\n",
"237 99\n",
"238 99\n",
"239 99\n",
"240 99\n",
"241 99\n",
"242 99\n",
"243 99\n",
"244 99\n",
"245 99\n",
"246 99\n",
"247 99\n",
"248 99\n",
"249 99\n",
"250 99\n",
"251 99\n",
"252 99\n",
"253 99\n",
"254 99\n",
"255 99\n",
"256 99\n",
"257 99\n",
"258 99\n",
"259 99\n",
"260 99\n",
"261 99\n",
"262 99\n",
"263 99\n",
"264 99\n",
"265 99\n",
"266 99\n",
"267 99\n",
"268 99\n",
"269 99\n",
"270 99\n",
"271 99\n",
"272 99\n",
"273 99\n",
"274 99\n",
"275 99\n",
"276 99\n",
"277 99\n",
"278 99\n",
"279 99\n",
"280 99\n",
"281 99\n",
"282 99\n",
"283 99\n",
"284 99\n",
"285 99\n",
"286 99\n",
"287 99\n",
"288 99\n",
"289 99\n",
"290 99\n",
"291 99\n",
"292 99\n",
"293 99\n",
"294 99\n",
"295 99\n",
"296 99\n",
"297 99\n",
"298 99\n",
"299 99\n",
"300 99\n",
"301 99\n",
"302 99\n",
"303 99\n",
"304 99\n",
"305 99\n",
"306 99\n",
"307 99\n",
"308 99\n",
"309 99\n",
"310 99\n",
"311 99\n",
"312 99\n",
"313 99\n",
"314 99\n",
"315 99\n",
"316 99\n",
"317 99\n",
"318 99\n",
"319 99\n",
"320 99\n",
"321 99\n",
"322 99\n",
"323 99\n",
"324 99\n",
"325 99\n",
"326 99\n",
"327 99\n",
"328 99\n",
"329 99\n",
"330 99\n",
"331 99\n",
"332 99\n",
"333 99\n",
"334 99\n",
"335 99\n",
"336 99\n",
"337 99\n",
"338 99\n",
"339 99\n",
"340 99\n",
"341 99\n",
"342 99\n",
"343 99\n",
"344 99\n",
"345 99\n",
"346 99\n",
"347 99\n",
"348 99\n",
"349 99\n",
"350 99\n",
"351 99\n",
"352 99\n",
"353 99\n",
"354 99\n",
"355 99\n",
"356 99\n",
"357 99\n",
"358 99\n",
"359 99\n",
"360 99\n",
"361 99\n",
"362 99\n",
"363 99\n",
"364 99\n",
"365 99\n",
"366 99\n",
"367 99\n",
"368 99\n",
"369 99\n",
"370 99\n",
"371 99\n",
"372 99\n",
"373 99\n",
"374 99\n",
"375 99\n",
"376 99\n",
"377 99\n",
"378 99\n",
"379 99\n",
"380 99\n",
"381 99\n",
"382 99\n",
"383 99\n",
"384 99\n",
"385 99\n",
"386 99\n",
"387 99\n",
"388 99\n",
"389 99\n",
"390 99\n",
"391 99\n",
"392 99\n",
"393 99\n",
"394 99\n",
"395 99\n",
"396 99\n",
"397 99\n",
"398 99\n",
"399 99\n",
"400 99\n",
"401 99\n",
"402 99\n",
"403 99\n",
"404 99\n",
"405 99\n",
"406 99\n",
"407 99\n",
"408 99\n",
"409 99\n",
"410 99\n",
"411 99\n",
"412 99\n",
"413 99\n",
"414 99\n",
"415 99\n",
"416 99\n",
"417 99\n",
"418 99\n",
"419 99\n",
"420 99\n",
"421 99\n",
"422 99\n",
"423 99\n",
"424 99\n",
"425 99\n",
"426 99\n",
"427 99\n",
"428 99\n",
"429 99\n",
"430 99\n",
"431 99\n",
"432 99\n",
"433 99\n",
"434 99\n",
"435 99\n",
"436 99\n",
"437 99\n",
"438 99\n",
"439 99\n",
"440 99\n",
"441 99\n",
"442 99\n",
"443 99\n",
"444 99\n",
"445 99\n",
"446 99\n",
"447 99\n",
"448 99\n",
"449 99\n",
"450 99\n",
"451 99\n",
"452 99\n",
"453 99\n",
"454 99\n",
"455 99\n",
"456 99\n",
"457 99\n",
"458 99\n",
"459 99\n",
"460 99\n",
"461 99\n",
"462 99\n",
"463 99\n",
"464 99\n",
"465 99\n",
"466 99\n",
"467 99\n",
"468 99\n",
"469 99\n",
"470 99\n",
"471 99\n",
"472 99\n",
"473 99\n",
"474 99\n",
"475 99\n",
"476 99\n",
"477 99\n",
"478 99\n",
"479 99\n",
"480 99\n",
"481 99\n",
"482 99\n",
"483 99\n",
"484 99\n",
"485 99\n",
"486 99\n",
"487 99\n",
"488 99\n",
"489 99\n",
"490 99\n",
"491 99\n",
"492 99\n",
"493 99\n",
"494 99\n",
"495 99\n",
"496 99\n",
"497 99\n",
"498 99\n",
"499 99\n",
"500 99\n",
"501 99\n",
"502 99\n",
"503 99\n",
"504 99\n",
"505 99\n",
"506 99\n",
"507 99\n",
"508 99\n",
"509 99\n",
"510 99\n",
"511 99\n",
"512 99\n",
"513 99\n",
"514 99\n",
"515 99\n",
"516 99\n",
"517 99\n",
"518 99\n",
"519 99\n",
"520 99\n",
"521 99\n",
"522 99\n",
"523 99\n",
"524 99\n",
"-1 7\n"
]
}
],
"source": [
"n = 0\n",
"tot = 0\n",
"while True:\n",
" print(n, snap[n])\n",
"\n",
" if n == -1:\n",
" break\n",
" tot += m[n]\n",
" \n",
" n = nexthalo[n]\n"
]
},
{
"cell_type": "code",
"execution_count": 141,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"array([2.9051551e+15, 1.6921947e+15, 1.3596260e+15, ..., 9.9620782e+10,\n",
" 9.9620782e+10, 9.9620782e+10], dtype=float32)"
]
},
"execution_count": 141,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"cat[\"totmass\"]"
]
},
{
"cell_type": "code",
"execution_count": 145,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"0.9089129421107761"
]
},
"execution_count": 145,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"tot * 1e10 / 2.9051551e+15"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 118,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"array([ 0, 0, 0, 0, 0, 0, 0, 0,\n",
" 0, 0, 0, 0, 0, 0, 0, 0,\n",
" 0, 0, 0, 0, 0, 0, 0, 0,\n",
" 0, 0, 0, 0, 0, 0, 0, 0,\n",
" 0, 0, 0, 0, 0, 0, 0, 0,\n",
" 0, 0, 0, 0, 0, 0, 0, 0,\n",
" 0, 0, 0, 0, 0, 0, 0, 0,\n",
" 0, 0, 0, 0, 0, 0, 0, 0,\n",
" 0, 0, 0, 0, 0, 0, 0, 0,\n",
" 0, 0, 0, 0, 0, 0, 0, 0,\n",
" 0, 0, 0, 0, 0, 0, 0, 0,\n",
" 0, 0, 0, 0, 0, 0, 0, 0,\n",
" 0, 0, 0, 0, 0, 0, 0, 0,\n",
" 0, 0, 0, 0, 0, 0, 0, 0,\n",
" 0, 0, 0, 0, 0, 0, 0, 0,\n",
" 0, 0, 0, 0, 0, 0, 0, 0,\n",
" 0, 0, 0, 0, 0, 0, 0, 0,\n",
" 0, 0, 0, 0, 0, 0, 0, 0,\n",
" 0, 0, 0, 0, 0, 0, 0, 0,\n",
" 0, 0, 0, 0, 0, 0, 0, 0,\n",
" 0, 0, 0, 0, 0, 0, 0, 0,\n",
" 0, 0, 0, 0, 0, 0, 0, 0,\n",
" 0, 0, 0, 0, 0, 0, 0, 0,\n",
" 0, 0, 0, 0, 0, 0, 0, 0,\n",
" 0, 0, 0, 0, 0, 0, 0, 0,\n",
" 0, 0, 0, 0, 0, 0, 0, 0,\n",
" 0, 0, 0, 0, 0, 0, 0, 0,\n",
" 0, 0, 0, 0, 0, 0, 0, 0,\n",
" 0, 0, 0, 0, 0, 0, 0, 0,\n",
" 0, 0, 0, 0, 0, 0, 0, 0,\n",
" 0, 0, 0, 0, 0, 0, 0, 0,\n",
" 0, 0, 0, 0, 0, 0, 0, 0,\n",
" 0, 0, 0, 0, 0, 0, 0, 0,\n",
" 0, 0, 0, 0, 0, 0, 0, 0,\n",
" 0, 0, 0, 0, 0, 0, 0, 0,\n",
" 0, 0, 0, 0, 0, 0, 0, 0,\n",
" 0, 0, 0, 0, 0, 0, 0, 0,\n",
" 0, 0, 0, 0, 0, 0, 0, 0,\n",
" 0, 0, 0, 0, 0, 0, 0, 0,\n",
" 0, 0, 0, 0, 0, 0, 0, 0,\n",
" 0, 0, 0, 0, 920, 920, 920, 920,\n",
" 920, 920, 920, 920, 920, 920, 920, 920,\n",
" 920, 920, 920, 8873, 8873, 8873, 8873, 8873,\n",
" 8873, 8873, 8873, 17862, 17862, 17862, 17862, 17862,\n",
" 17862, 18228, 18228, 27161, 34487, 35365, 35365, 35365,\n",
" 47500, 48049, 50329, 50329, 50329, 58254, 58536, 61800,\n",
" 61800, 61800, 65113, 65114, 68491, 68491, 68491, 79269,\n",
" 86428, 86428, 86428, 90962, 91557, 91766, 91925, 95075,\n",
" 95075, 96564, 97574, 100676, 104544, 121822, 129509, 133645,\n",
" 144461, 147189, 149021, 155739, 156038, 157391, 159351, 164256])"
]
},
"execution_count": 118,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"f[\"TreeHalos/GroupNr\"][:400]"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 108,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"array([ 458, 765, 459, ..., -1, 73894, -1], dtype=int32)"
]
},
"execution_count": 108,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"x = f[\"TreeHalos/TreeMainProgenitor\"][:73895]\n",
"s = f[\"TreeHalos/SnapNum\"][:73895]\n",
"x"
]
},
{
"cell_type": "code",
"execution_count": 102,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"array([ 458, 765, 459, ..., -1, 73894, -1], dtype=int32)"
]
},
"execution_count": 102,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"y = f[\"TreeHalos/TreeFirstProgenitor\"][:73895]\n",
"y"
]
},
{
"cell_type": "code",
"execution_count": 104,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"(array([ 5267, 8923, 31233, 34714, 36776, 57800, 57826, 64048, 67037,\n",
" 67200, 68473, 69140, 69301, 70258, 72159]),)"
]
},
"execution_count": 104,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"np.where(x != y)"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 62,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"array([1.4632333e+05, 8.7416617e+04, 1.7587738e+04, ..., 8.7168188e+00,\n",
" 7.7828741e+00, 7.1602445e+00], dtype=float32)"
]
},
"execution_count": 62,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"cat[\"Group_M_Crit200\"]"
]
},
{
"cell_type": "code",
"execution_count": 50,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"array([1.8495028e+05, 1.2342685e+05, 1.0729690e+05, ..., 9.9620781e+00,\n",
" 9.9620781e+00, 9.9620781e+00], dtype=float32)"
]
},
"execution_count": 50,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"cat[\"totmass\"] * 1e-10"
]
},
{
"cell_type": "code",
"execution_count": 75,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"(array([458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470,\n",
" 471, 472, 473, 474, 475, 476, 477, 478, 479, 480, 481, 482, 483,\n",
" 484, 485, 486, 487, 488, 489, 490, 491, 492, 493, 494, 495, 496,\n",
" 497, 498, 499, 500, 501, 502, 503, 504, 505, 506, 507, 508, 509,\n",
" 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522,\n",
" 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535,\n",
" 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548,\n",
" 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561,\n",
" 562, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574,\n",
" 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 585, 586, 587,\n",
" 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600,\n",
" 601, 602, 603, 604, 605, 606, 607, 608, 609, 610, 611, 612, 613,\n",
" 614, 615, 616, 617, 618, 619, 620, 621, 622, 623, 624, 625, 626,\n",
" 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639,\n",
" 640, 641, 642, 643, 644, 645, 646, 647, 648, 649, 650, 651, 652,\n",
" 653, 654, 655, 656, 657, 658, 659, 660, 661, 662, 663, 664, 665,\n",
" 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678,\n",
" 679, 680, 681, 682, 683, 684, 685, 686, 687, 688, 689, 690, 691,\n",
" 692, 693, 694, 695, 696, 697, 698, 699, 700, 701, 702, 703, 704,\n",
" 705, 706, 707, 708, 709, 710, 711, 712, 713, 714, 715, 716, 717,\n",
" 718, 719, 720, 721, 722, 723, 724, 725, 726, 727, 728, 729, 730,\n",
" 731, 732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743,\n",
" 744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 756,\n",
" 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 768, 769,\n",
" 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 780, 781, 782,\n",
" 783, 784, 785, 786, 787, 788, 789, 790, 791, 792, 793, 794, 795,\n",
" 796, 797, 798, 799, 800, 801, 802, 803, 804, 805, 806, 807, 808,\n",
" 809, 810, 811, 812, 813, 814, 815, 816, 817, 818, 819, 820, 821,\n",
" 822, 823, 824, 825, 826, 827, 828, 829, 830, 831, 832, 833, 834,\n",
" 835, 836, 837, 838, 839, 840, 841, 842, 843, 844, 845, 846, 847,\n",
" 848, 849, 850, 851, 852, 853, 854, 855, 856, 857, 858, 859, 860,\n",
" 861, 862, 863, 864, 865, 866, 867, 868, 869, 870, 871, 872, 873,\n",
" 874, 875, 876, 877, 878, 879, 880, 881, 882, 883, 884, 885, 886,\n",
" 887, 888, 889, 890, 891, 892, 893, 894, 895, 896, 897, 898, 899,\n",
" 900, 901, 902, 903, 904, 905, 906, 907, 908, 909, 910, 911, 912,\n",
" 913, 914, 915, 916, 917]),)"
]
},
"execution_count": 75,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"np.where(f[\"TreeHalos\"][\"SnapNum\"][:1000] == 98)"
]
},
{
"cell_type": "code",
"execution_count": 77,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"324"
]
},
"execution_count": 77,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"f[\"TreeHalos\"][\"TreeFirstHaloInFOFgroup\"][:1000][330]"
]
},
{
"cell_type": "code",
"execution_count": 44,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"array([99, 99, 99, 99, 99, 99, 99, 99, 99, 99, 99, 99, 99, 99, 99, 99, 99,\n",
" 99, 99, 99, 99, 99, 99, 99, 99, 99, 99, 99, 99, 99, 99, 99, 99, 99,\n",
" 99, 99, 99, 99, 99, 99, 99, 99, 99, 99, 99, 99, 99, 99, 99, 99, 99,\n",
" 99, 99, 99, 99, 99, 99, 99, 99, 99, 99, 99, 99, 99, 99, 99, 99, 99,\n",
" 99, 99, 99, 99, 99, 99, 99, 99, 99, 99, 99, 99, 99, 99, 99, 99, 99,\n",
" 99, 99, 99, 99, 99, 99, 99, 99, 99, 99, 99, 99, 99, 99, 99],\n",
" dtype=int32)"
]
},
"execution_count": 44,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"f[\"TreeHalos/SnapNum\"][73895:73895+100]"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 5,
"metadata": {},
"outputs": [],
"source": [
"snap_final = csiborgtools.read.CSiBORG2Snapshot(1, 99, \"varysmall\")\n",
"snap_init = csiborgtools.read.CSiBORG2Snapshot(1, 0, \"varysmall\")"
]
},
{
"cell_type": "code",
"execution_count": 6,
"metadata": {},
"outputs": [],
"source": [
"# print(\"Loading final\")\n",
"# pid_final = snap_final.particle_ids()\n",
"\n",
"# print(\"Loading init\")\n",
"# pid_init = snap_init.particle_ids()\n",
"\n"
]
},
{
"cell_type": "code",
"execution_count": 23,
"metadata": {},
"outputs": [],
"source": [
"hid = 7\n",
"pos_final = snap_final.halo_coordinates(hid)"
]
},
{
"cell_type": "code",
"execution_count": 24,
"metadata": {},
"outputs": [],
"source": [
"pos_init = snap_init.halo_coordinates(hid)"
]
},
{
"cell_type": "code",
"execution_count": 25,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"(231277, 3)"
]
},
"execution_count": 25,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"pos_final.shape"
]
},
{
"cell_type": "code",
"execution_count": 27,
"metadata": {},
"outputs": [
{
"data": {
"image/png": "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
"text/plain": [
"<Figure size 640x480 with 1 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"plt.figure()\n",
"# plt.scatter(pos_init[:,0], pos_init[:, 1], s=0.1)\n",
"plt.scatter(pos_final[:,0], pos_final[:, 1], s=0.1)\n",
"\n",
"plt.show()"
]
},
{
"cell_type": "code",
"execution_count": 46,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"<KeysViewHDF5 ['GroupAscale', 'GroupFirstSub', 'GroupLen', 'GroupLenPrevMostBnd', 'GroupLenType', 'GroupMass', 'GroupMassType', 'GroupNsubs', 'GroupOffsetType', 'GroupPos', 'GroupVel', 'Group_M_Crit200', 'Group_M_Crit500', 'Group_M_Mean200', 'Group_M_TopHat200', 'Group_R_Crit200', 'Group_R_Crit500', 'Group_R_Mean200', 'Group_R_TopHat200']>\n",
"<KeysViewHDF5 ['GroupAscale', 'GroupFirstSub', 'GroupLen', 'GroupLenPrevMostBnd', 'GroupLenType', 'GroupMass', 'GroupMassType', 'GroupNsubs', 'GroupOffsetType', 'GroupPos', 'GroupVel', 'Group_M_Crit200', 'Group_M_Crit500', 'Group_M_Mean200', 'Group_M_TopHat200', 'Group_R_Crit200', 'Group_R_Crit500', 'Group_R_Mean200', 'Group_R_TopHat200']>\n"
]
}
],
"source": [
"cat = csiborgtools.read.CSiBORG2Catalogue(15617, 99, \"main\", bounds={\"dist\": (None, 120)})"
]
},
{
"cell_type": "code",
"execution_count": 47,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"<KeysViewHDF5 ['GroupAscale', 'GroupFirstSub', 'GroupLen', 'GroupLenPrevMostBnd', 'GroupLenType', 'GroupMass', 'GroupMassType', 'GroupNsubs', 'GroupOffsetType', 'GroupPos', 'GroupVel', 'Group_M_Crit200', 'Group_M_Crit500', 'Group_M_Mean200', 'Group_M_TopHat200', 'Group_R_Crit200', 'Group_R_Crit500', 'Group_R_Mean200', 'Group_R_TopHat200']>\n",
"<KeysViewHDF5 ['GroupAscale', 'GroupFirstSub', 'GroupLen', 'GroupLenPrevMostBnd', 'GroupLenType', 'GroupMass', 'GroupMassType', 'GroupNsubs', 'GroupOffsetType', 'GroupPos', 'GroupVel', 'Group_M_Crit200', 'Group_M_Crit500', 'Group_M_Mean200', 'Group_M_TopHat200', 'Group_R_Crit200', 'Group_R_Crit500', 'Group_R_Mean200', 'Group_R_TopHat200']>\n"
]
}
],
"source": [
"x = cat[\"dist\"]\n",
"y = cat[\"GroupContamination\"]"
]
},
{
"cell_type": "code",
"execution_count": 48,
"metadata": {},
"outputs": [
{
"data": {
"image/png": "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
"text/plain": [
"<Figure size 640x480 with 1 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"plt.figure()\n",
"plt.scatter(x / 0.676, y, s=0.1)\n",
"plt.show()"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 10,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"['cartesian_pos',\n",
" 'spherical_pos',\n",
" 'dist',\n",
" 'cartesian_redshiftspace_pos',\n",
" 'spherical_redshiftspace_pos',\n",
" 'redshiftspace_dist',\n",
" 'cartesian_vel',\n",
" 'particle_offsetnpart',\n",
" 'totmass',\n",
" 'index',\n",
" 'lagpatch_coordinates',\n",
" 'lagpatch_radius']"
]
},
"execution_count": 10,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"cat.keys()"
]
},
{
"cell_type": "code",
"execution_count": 56,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"168736"
]
},
"execution_count": 56,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"len(cat)"
]
},
{
"cell_type": "code",
"execution_count": 55,
"metadata": {},
"outputs": [
{
"ename": "AttributeError",
"evalue": "'CSiBORG1Catalogue' object has no attribute 'data'",
"output_type": "error",
"traceback": [
"\u001b[0;31m---------------------------------------------------------------------------\u001b[0m",
"\u001b[0;31mAttributeError\u001b[0m Traceback (most recent call last)",
"Cell \u001b[0;32mIn[55], line 1\u001b[0m\n\u001b[0;32m----> 1\u001b[0m \u001b[43mcat\u001b[49m\u001b[43m[\u001b[49m\u001b[38;5;124;43m\"\u001b[39;49m\u001b[38;5;124;43mm200c\u001b[39;49m\u001b[38;5;124;43m\"\u001b[39;49m\u001b[43m]\u001b[49m\n",
"File \u001b[0;32m~/csiborgtools/csiborgtools/read/catalogue.py:486\u001b[0m, in \u001b[0;36mBaseCatalogue.__getitem__\u001b[0;34m(self, key)\u001b[0m\n\u001b[1;32m 484\u001b[0m \u001b[38;5;28;01melif\u001b[39;00m key \u001b[38;5;129;01min\u001b[39;00m \u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39m_custom_keys:\n\u001b[1;32m 485\u001b[0m out \u001b[38;5;241m=\u001b[39m \u001b[38;5;28mgetattr\u001b[39m(\u001b[38;5;28mself\u001b[39m, key)\n\u001b[0;32m--> 486\u001b[0m \u001b[38;5;28;01melif\u001b[39;00m key \u001b[38;5;129;01min\u001b[39;00m \u001b[38;5;28;43mself\u001b[39;49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mdata\u001b[49m[\u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39mcatalogue_name]\u001b[38;5;241m.\u001b[39mkeys():\n\u001b[1;32m 487\u001b[0m out \u001b[38;5;241m=\u001b[39m \u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39mdata[\u001b[38;5;124mf\u001b[39m\u001b[38;5;124m\"\u001b[39m\u001b[38;5;132;01m{\u001b[39;00m\u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39mcatalogue_name\u001b[38;5;132;01m}\u001b[39;00m\u001b[38;5;124m/\u001b[39m\u001b[38;5;132;01m{\u001b[39;00mkey\u001b[38;5;132;01m}\u001b[39;00m\u001b[38;5;124m\"\u001b[39m][:]\n\u001b[1;32m 488\u001b[0m \u001b[38;5;28;01melse\u001b[39;00m:\n",
"\u001b[0;31mAttributeError\u001b[0m: 'CSiBORG1Catalogue' object has no attribute 'data'"
]
}
],
"source": [
"cat[\"m200c\"]"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 46,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"array([ 1, 2, 3, ..., 168734, 168735, 168736], dtype=int32)"
]
},
"execution_count": 46,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"cat[\"index\"]"
]
},
{
"cell_type": "code",
"execution_count": 43,
"metadata": {},
"outputs": [
{
"ename": "ValueError",
"evalue": "FoF catalogue key 'xx' not available. Available keys are: ['GroupOffset', 'index', 'm200c', 'totpartmass', 'x', 'y', 'z']",
"output_type": "error",
"traceback": [
"\u001b[0;31m---------------------------------------------------------------------------\u001b[0m",
"\u001b[0;31mValueError\u001b[0m Traceback (most recent call last)",
"Cell \u001b[0;32mIn[43], line 1\u001b[0m\n\u001b[0;32m----> 1\u001b[0m \u001b[43mcat\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43m_read_fof_catalogue\u001b[49m\u001b[43m(\u001b[49m\u001b[38;5;124;43m\"\u001b[39;49m\u001b[38;5;124;43mxx\u001b[39;49m\u001b[38;5;124;43m\"\u001b[39;49m\u001b[43m)\u001b[49m\n",
"File \u001b[0;32m~/csiborgtools/csiborgtools/read/catalogue.py:566\u001b[0m, in \u001b[0;36mCSiBORG1Catalogue._read_fof_catalogue\u001b[0;34m(self, kind)\u001b[0m\n\u001b[1;32m 564\u001b[0m \u001b[38;5;28;01mwith\u001b[39;00m File(fpath, \u001b[38;5;124m'\u001b[39m\u001b[38;5;124mr\u001b[39m\u001b[38;5;124m'\u001b[39m) \u001b[38;5;28;01mas\u001b[39;00m f:\n\u001b[1;32m 565\u001b[0m \u001b[38;5;28;01mif\u001b[39;00m kind \u001b[38;5;129;01mnot\u001b[39;00m \u001b[38;5;129;01min\u001b[39;00m f\u001b[38;5;241m.\u001b[39mkeys():\n\u001b[0;32m--> 566\u001b[0m \u001b[38;5;28;01mraise\u001b[39;00m \u001b[38;5;167;01mValueError\u001b[39;00m(\u001b[38;5;124mf\u001b[39m\u001b[38;5;124m\"\u001b[39m\u001b[38;5;124mFoF catalogue key \u001b[39m\u001b[38;5;124m'\u001b[39m\u001b[38;5;132;01m{\u001b[39;00mkind\u001b[38;5;132;01m}\u001b[39;00m\u001b[38;5;124m'\u001b[39m\u001b[38;5;124m not available. Available keys are: \u001b[39m\u001b[38;5;132;01m{\u001b[39;00m\u001b[38;5;28mlist\u001b[39m(f\u001b[38;5;241m.\u001b[39mkeys())\u001b[38;5;132;01m}\u001b[39;00m\u001b[38;5;124m\"\u001b[39m) \u001b[38;5;66;03m# noqa\u001b[39;00m\n\u001b[1;32m 567\u001b[0m out \u001b[38;5;241m=\u001b[39m f[kind][\u001b[38;5;241m.\u001b[39m\u001b[38;5;241m.\u001b[39m\u001b[38;5;241m.\u001b[39m]\n\u001b[1;32m 568\u001b[0m \u001b[38;5;28;01mreturn\u001b[39;00m out\n",
"\u001b[0;31mValueError\u001b[0m: FoF catalogue key 'xx' not available. Available keys are: ['GroupOffset', 'index', 'm200c', 'totpartmass', 'x', 'y', 'z']"
]
}
],
"source": [
"cat._read_fof_catalogue(\"xx\")"
]
},
{
"cell_type": "code",
"execution_count": 49,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"array([165, 341, 189, ..., 524, 281, 606], dtype=uint32)"
]
},
"execution_count": 49,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"getattr(cat, \"npart\")"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 42,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"array([3.12599134e+09, 3.11626524e+09, 3.12360110e+09, ...,\n",
" 3.56968138e+09, 3.11820731e+09, 6.41428993e+09])"
]
},
"execution_count": 42,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"cat[\"totmass\"] / cat[\"npart\"]"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 30,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"array([[246.23767, 248.68051, 246.8038 ],\n",
" [245.89716, 248.3562 , 246.68607],\n",
" [251.61401, 249.80145, 251.0754 ],\n",
" ...,\n",
" [239.69035, 436.81116, 251.61401],\n",
" [238.87607, 435.89465, 251.42436],\n",
" [239.18134, 437.3216 , 250.3281 ]], dtype=float32)"
]
},
"execution_count": 30,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"cat[\"cartesian_pos\"]"
]
},
{
"cell_type": "code",
"execution_count": 31,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"<KeysViewHDF5 ['GroupOffset', 'index', 'm200c', 'totpartmass', 'x', 'y', 'z']>\n"
]
},
{
"data": {
"text/plain": [
"array([246.23767, 245.89716, 251.61401, ..., 239.69035, 238.87607,\n",
" 239.18134], dtype=float32)"
]
},
"execution_count": 31,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"cat._read_fof_catalogue(\"x\")"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 29,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"['spherical_pos', 'cartesian_pos']"
]
},
"execution_count": 29,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"cat.cache_keys()"
]
},
{
"cell_type": "code",
"execution_count": 37,
"metadata": {},
"outputs": [],
"source": [
"x = np.arange(10)"
]
},
{
"cell_type": "code",
"execution_count": 41,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"(20, 1)"
]
},
"execution_count": 41,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"np.vstack([x.reshape(-1, 1), x.reshape(-1, 1)]).shape"
]
},
{
"cell_type": "code",
"execution_count": 45,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"(20,)"
]
},
"execution_count": 45,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"np.hstack([x, x]).shape"
]
},
{
"cell_type": "code",
"execution_count": 29,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"(38733704, 3)"
]
},
"execution_count": 29,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"np.vstack([x, x]).shape"
]
},
{
"cell_type": "code",
"execution_count": 11,
"metadata": {},
"outputs": [
{
"ename": "ValueError",
"evalue": "Halo `0` not found.",
"output_type": "error",
"traceback": [
"\u001b[0;31m---------------------------------------------------------------------------\u001b[0m",
"\u001b[0;31mValueError\u001b[0m Traceback (most recent call last)",
"Cell \u001b[0;32mIn[11], line 1\u001b[0m\n\u001b[0;32m----> 1\u001b[0m x \u001b[38;5;241m=\u001b[39m \u001b[43msnapshot\u001b[49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43mhalo_coordinates\u001b[49m\u001b[43m(\u001b[49m\u001b[38;5;241;43m0\u001b[39;49m\u001b[43m)\u001b[49m\n",
"File \u001b[0;32m~/csiborgtools/csiborgtools/read/snapshot.py:255\u001b[0m, in \u001b[0;36mCSiBORG1Snapshot.halo_coordinates\u001b[0;34m(self, halo_id, is_group)\u001b[0m\n\u001b[1;32m 252\u001b[0m \u001b[38;5;28;01mif\u001b[39;00m \u001b[38;5;129;01mnot\u001b[39;00m is_group:\n\u001b[1;32m 253\u001b[0m \u001b[38;5;28;01mraise\u001b[39;00m \u001b[38;5;167;01mValueError\u001b[39;00m(\u001b[38;5;124m\"\u001b[39m\u001b[38;5;124mThere is no subhalo catalogue for CSiBORG1.\u001b[39m\u001b[38;5;124m\"\u001b[39m)\n\u001b[0;32m--> 255\u001b[0m \u001b[38;5;28;01mreturn\u001b[39;00m \u001b[38;5;28;43mself\u001b[39;49m\u001b[38;5;241;43m.\u001b[39;49m\u001b[43m_get_halo_particles\u001b[49m\u001b[43m(\u001b[49m\u001b[43mhalo_id\u001b[49m\u001b[43m,\u001b[49m\u001b[43m \u001b[49m\u001b[38;5;124;43m\"\u001b[39;49m\u001b[38;5;124;43mCoordinates\u001b[39;49m\u001b[38;5;124;43m\"\u001b[39;49m\u001b[43m)\u001b[49m\n",
"File \u001b[0;32m~/csiborgtools/csiborgtools/read/snapshot.py:245\u001b[0m, in \u001b[0;36mCSiBORG1Snapshot._get_halo_particles\u001b[0;34m(self, halo_id, kind)\u001b[0m\n\u001b[1;32m 242\u001b[0m i, j \u001b[38;5;241m=\u001b[39m \u001b[38;5;28mself\u001b[39m\u001b[38;5;241m.\u001b[39mhid2offset\u001b[38;5;241m.\u001b[39mget(halo_id, (\u001b[38;5;28;01mNone\u001b[39;00m, \u001b[38;5;28;01mNone\u001b[39;00m))\n\u001b[1;32m 244\u001b[0m \u001b[38;5;28;01mif\u001b[39;00m i \u001b[38;5;129;01mis\u001b[39;00m \u001b[38;5;28;01mNone\u001b[39;00m:\n\u001b[0;32m--> 245\u001b[0m \u001b[38;5;28;01mraise\u001b[39;00m \u001b[38;5;167;01mValueError\u001b[39;00m(\u001b[38;5;124mf\u001b[39m\u001b[38;5;124m\"\u001b[39m\u001b[38;5;124mHalo `\u001b[39m\u001b[38;5;132;01m{\u001b[39;00mhalo_id\u001b[38;5;132;01m}\u001b[39;00m\u001b[38;5;124m` not found.\u001b[39m\u001b[38;5;124m\"\u001b[39m)\n\u001b[1;32m 247\u001b[0m x \u001b[38;5;241m=\u001b[39m f[kind][i:j \u001b[38;5;241m+\u001b[39m \u001b[38;5;241m1\u001b[39m]\n\u001b[1;32m 249\u001b[0m \u001b[38;5;28;01mreturn\u001b[39;00m x\n",
"\u001b[0;31mValueError\u001b[0m: Halo `0` not found."
]
}
],
"source": [
"x = snapshot.halo_coordinates(0)"
]
},
{
"cell_type": "code",
"execution_count": 10,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"array([[396.24 , 662.408, 314.148],\n",
" [398.16 , 661.776, 314.764],\n",
" [398.04 , 660.472, 313.024],\n",
" ...,\n",
" [396.26 , 661.08 , 314.384],\n",
" [396.052, 661.296, 313.964],\n",
" [397.068, 662.008, 312.492]], dtype=float32)"
]
},
"execution_count": 10,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"x"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 23,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"array([3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09, 3.0900434e+09, 3.0900434e+09,\n",
" 3.0900434e+09, 3.0900434e+09], dtype=float32)"
]
},
"execution_count": 23,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"x"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 10,
"metadata": {},
"outputs": [],
"source": [
"snapshot.hid2offset"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 16,
"metadata": {},
"outputs": [],
"source": [
"d = {i: (j, k) for i, j, k in offset}"
]
},
{
"cell_type": "code",
"execution_count": 20,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"(295030069, 295030234)"
]
},
"execution_count": 20,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"d[1]"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 10,
"metadata": {},
"outputs": [],
"source": [
"ids = snapshot.particle_ids()"
]
},
{
"cell_type": "code",
"execution_count": 3,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"<KeysViewHDF5 ['Coordinates', 'Header', 'Masses', 'ParticleIDs', 'Velocities']>\n"
]
}
],
"source": [
"from h5py import File\n",
"\n",
"\n",
"with File(\"/mnt/extraspace/rstiskalek/csiborg1/chain_7516/snapshot_00946.hdf5\", 'r') as f:\n",
" print(f.keys())\n"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 9,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"<bound method CSiBORG1Snapshot.particle_ids of <csiborgtools.read.snapshot.CSiBORG1Snapshot object at 0x7f924a96d9d0>>"
]
},
"execution_count": 9,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"ids"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 27,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"(22478, 7)"
]
},
"execution_count": 27,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"d1[\"val\"].shape"
]
},
{
"cell_type": "code",
"execution_count": 28,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"(17737, 7)"
]
},
"execution_count": 28,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"d2[\"val\"].shape"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 22,
"metadata": {},
"outputs": [
{
"name": "stderr",
"output_type": "stream",
"text": [
"WARNING: VerifyWarning: It is strongly recommended that column names contain only upper and lower-case ASCII letters, digits, or underscores for maximum compatibility with other software (got '#AGC'). [astropy.io.fits.column]\n",
"WARNING: VerifyWarning: It is strongly recommended that column names contain only upper and lower-case ASCII letters, digits, or underscores for maximum compatibility with other software (got '#AGCNr'). [astropy.io.fits.column]\n",
"/mnt/zfsusers/rstiskalek/csiborgtools/csiborgtools/read/obs.py:368: UserWarning: Key `IN_DR7_LSS` found in both `routine_keys` and `fits_keys`. Returning `routine_keys` value.\n",
" warn(f\"Key `{key}` found in both `routine_keys` and `fits_keys`. \"\n"
]
}
],
"source": [
"surv = csiborgtools.SDSSxALFALFA()(apply_selection=True)"
]
},
{
"cell_type": "code",
"execution_count": 25,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"22478"
]
},
"execution_count": 25,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"surv.selection_mask.size"
]
},
{
"cell_type": "code",
"execution_count": 1,
"metadata": {},
"outputs": [
{
"ename": "NameError",
"evalue": "name 'x' is not defined",
"output_type": "error",
"traceback": [
"\u001b[0;31m---------------------------------------------------------------------------\u001b[0m",
"\u001b[0;31mNameError\u001b[0m Traceback (most recent call last)",
"Cell \u001b[0;32mIn[1], line 1\u001b[0m\n\u001b[0;32m----> 1\u001b[0m \u001b[43mx\u001b[49m\n",
"\u001b[0;31mNameError\u001b[0m: name 'x' is not defined"
]
}
],
"source": [
"x"
]
},
{
"cell_type": "code",
"execution_count": 8,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"(17737, 7)"
]
},
"execution_count": 8,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"d[\"val\"].shape"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 43,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"array([0, 1])"
]
},
"execution_count": 43,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"paths.get_ics(\"quijote\")"
]
},
{
"cell_type": "code",
"execution_count": 78,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"True\n"
]
},
{
"data": {
"text/plain": [
"['ics', 4]"
]
},
"execution_count": 78,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"paths.get_snapshots(1, \"quijote\")"
]
},
{
"cell_type": "code",
"execution_count": 82,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"'ICs'"
]
},
"execution_count": 82,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"str(\"ICs\").zfill(3)"
]
},
{
"cell_type": "code",
"execution_count": 80,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"'/mnt/extraspace/rstiskalek/csiborg1/chain_7444/snapshot_00980.hdf5'"
]
},
"execution_count": 80,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"paths.snapshot(980, 7444, \"csiborg\")"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": [
"with h5py.File(\"/mnt/extraspace/rstiskalek/quijote/fiducial_processed/chain_0/fof_004.hdf5\", 'r') as f:\n",
" print(f.keys())"
]
},
{
"cell_type": "code",
"execution_count": 5,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"array([[9.9994348e+02, 2.3538021e+01, 1.3582062e+01],\n",
" [1.2109435e+02, 9.1827988e+01, 4.8249097e+02],\n",
" [1.2107726e+02, 9.1833275e+01, 4.8052374e+02],\n",
" ...,\n",
" [9.9808612e+02, 9.7062708e+02, 9.3360345e+02],\n",
" [2.6784971e-02, 9.7256281e+02, 9.3554065e+02],\n",
" [9.9810071e+02, 9.6868726e+02, 9.3552893e+02]], dtype=float32)"
]
},
"execution_count": 5,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"pos0"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": [
"paths = csiborgtools.read.Paths(**csiborgtools.paths_glamdring)"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": [
"Omega0 0.307000011205673\n",
"OmegaBaryon 0.0\n",
"OmegaLambda 0.693000018596649"
]
},
{
"cell_type": "code",
"execution_count": 26,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"0.693000018596649"
]
},
"execution_count": 26,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"box._omega_l"
]
},
{
"cell_type": "code",
"execution_count": 20,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"0.307000011205673"
]
},
"execution_count": 20,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"box.Om0"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 18,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"67682.75228061239"
]
},
"execution_count": 18,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"box.box2vel(1)"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": [
"2.654327164967911e+19"
]
},
{
"cell_type": "code",
"execution_count": 5,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"array([15517, 15617, 15717, 15817, 15917, 16017, 16117, 16217, 16317,\n",
" 16417, 16517, 16617, 16717, 16817, 16917, 17117, 17217, 17317,\n",
" 17417])"
]
},
"execution_count": 5,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"paths.get_ics(\"csiborg2_main\")"
]
},
{
"cell_type": "code",
"execution_count": 11,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"array([ 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16,\n",
" 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33,\n",
" 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50,\n",
" 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67,\n",
" 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84,\n",
" 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99])"
]
},
"execution_count": 11,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"paths.get_snapshots(15517, \"csiborg2_main\")"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 9,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"bak-subhalo_treelink_000.hdf5\t snapshot-prevmostboundonly_045.hdf5\n",
"bak-subhalo_treelink_001.hdf5\t snapshot-prevmostboundonly_046.hdf5\n",
"bak-subhalo_treelink_002.hdf5\t snapshot-prevmostboundonly_047.hdf5\n",
"bak-subhalo_treelink_003.hdf5\t snapshot-prevmostboundonly_048.hdf5\n",
"bak-subhalo_treelink_004.hdf5\t snapshot-prevmostboundonly_049.hdf5\n",
"bak-subhalo_treelink_005.hdf5\t snapshot-prevmostboundonly_050.hdf5\n",
"bak-subhalo_treelink_006.hdf5\t snapshot-prevmostboundonly_051.hdf5\n",
"bak-subhalo_treelink_007.hdf5\t snapshot-prevmostboundonly_052.hdf5\n",
"bak-subhalo_treelink_008.hdf5\t snapshot-prevmostboundonly_053.hdf5\n",
"bak-subhalo_treelink_009.hdf5\t snapshot-prevmostboundonly_054.hdf5\n",
"bak-subhalo_treelink_010.hdf5\t snapshot-prevmostboundonly_055.hdf5\n",
"bak-subhalo_treelink_011.hdf5\t snapshot-prevmostboundonly_056.hdf5\n",
"bak-subhalo_treelink_012.hdf5\t snapshot-prevmostboundonly_057.hdf5\n",
"bak-subhalo_treelink_013.hdf5\t snapshot-prevmostboundonly_058.hdf5\n",
"bak-subhalo_treelink_014.hdf5\t snapshot-prevmostboundonly_059.hdf5\n",
"bak-subhalo_treelink_015.hdf5\t snapshot-prevmostboundonly_060.hdf5\n",
"bak-subhalo_treelink_016.hdf5\t snapshot-prevmostboundonly_061.hdf5\n",
"bak-subhalo_treelink_017.hdf5\t snapshot-prevmostboundonly_062.hdf5\n",
"bak-subhalo_treelink_018.hdf5\t snapshot-prevmostboundonly_063.hdf5\n",
"bak-subhalo_treelink_019.hdf5\t snapshot-prevmostboundonly_064.hdf5\n",
"bak-subhalo_treelink_020.hdf5\t snapshot-prevmostboundonly_065.hdf5\n",
"bak-subhalo_treelink_021.hdf5\t snapshot-prevmostboundonly_066.hdf5\n",
"bak-subhalo_treelink_022.hdf5\t snapshot-prevmostboundonly_067.hdf5\n",
"bak-subhalo_treelink_023.hdf5\t snapshot-prevmostboundonly_068.hdf5\n",
"bak-subhalo_treelink_024.hdf5\t snapshot-prevmostboundonly_069.hdf5\n",
"bak-subhalo_treelink_025.hdf5\t snapshot-prevmostboundonly_070.hdf5\n",
"bak-subhalo_treelink_026.hdf5\t snapshot-prevmostboundonly_071.hdf5\n",
"bak-subhalo_treelink_027.hdf5\t snapshot-prevmostboundonly_072.hdf5\n",
"bak-subhalo_treelink_028.hdf5\t snapshot-prevmostboundonly_073.hdf5\n",
"bak-subhalo_treelink_029.hdf5\t snapshot-prevmostboundonly_074.hdf5\n",
"bak-subhalo_treelink_030.hdf5\t snapshot-prevmostboundonly_075.hdf5\n",
"bak-subhalo_treelink_031.hdf5\t snapshot-prevmostboundonly_076.hdf5\n",
"bak-subhalo_treelink_032.hdf5\t snapshot-prevmostboundonly_077.hdf5\n",
"bak-subhalo_treelink_033.hdf5\t snapshot-prevmostboundonly_078.hdf5\n",
"bak-subhalo_treelink_034.hdf5\t snapshot-prevmostboundonly_079.hdf5\n",
"bak-subhalo_treelink_035.hdf5\t snapshot-prevmostboundonly_080.hdf5\n",
"bak-subhalo_treelink_036.hdf5\t snapshot-prevmostboundonly_081.hdf5\n",
"bak-subhalo_treelink_037.hdf5\t snapshot-prevmostboundonly_082.hdf5\n",
"bak-subhalo_treelink_038.hdf5\t snapshot-prevmostboundonly_083.hdf5\n",
"bak-subhalo_treelink_039.hdf5\t snapshot-prevmostboundonly_084.hdf5\n",
"bak-subhalo_treelink_040.hdf5\t snapshot-prevmostboundonly_085.hdf5\n",
"bak-subhalo_treelink_041.hdf5\t snapshot-prevmostboundonly_086.hdf5\n",
"bak-subhalo_treelink_042.hdf5\t snapshot-prevmostboundonly_087.hdf5\n",
"bak-subhalo_treelink_043.hdf5\t snapshot-prevmostboundonly_088.hdf5\n",
"bak-subhalo_treelink_044.hdf5\t snapshot-prevmostboundonly_089.hdf5\n",
"bak-subhalo_treelink_045.hdf5\t snapshot-prevmostboundonly_090.hdf5\n",
"bak-subhalo_treelink_046.hdf5\t snapshot-prevmostboundonly_091.hdf5\n",
"bak-subhalo_treelink_047.hdf5\t snapshot-prevmostboundonly_092.hdf5\n",
"bak-subhalo_treelink_048.hdf5\t snapshot-prevmostboundonly_093.hdf5\n",
"bak-subhalo_treelink_049.hdf5\t snapshot-prevmostboundonly_094.hdf5\n",
"bak-subhalo_treelink_050.hdf5\t snapshot-prevmostboundonly_095.hdf5\n",
"bak-subhalo_treelink_051.hdf5\t snapshot-prevmostboundonly_096.hdf5\n",
"bak-subhalo_treelink_052.hdf5\t snapshot-prevmostboundonly_097.hdf5\n",
"bak-subhalo_treelink_053.hdf5\t snapshot-prevmostboundonly_098.hdf5\n",
"bak-subhalo_treelink_054.hdf5\t snapshot-prevmostboundonly_099.hdf5\n",
"bak-subhalo_treelink_055.hdf5\t subhalo_desc_000.hdf5\n",
"bak-subhalo_treelink_056.hdf5\t subhalo_desc_001.hdf5\n",
"bak-subhalo_treelink_057.hdf5\t subhalo_desc_002.hdf5\n",
"bak-subhalo_treelink_058.hdf5\t subhalo_desc_003.hdf5\n",
"bak-subhalo_treelink_059.hdf5\t subhalo_desc_004.hdf5\n",
"bak-subhalo_treelink_060.hdf5\t subhalo_desc_005.hdf5\n",
"bak-subhalo_treelink_061.hdf5\t subhalo_desc_006.hdf5\n",
"bak-subhalo_treelink_062.hdf5\t subhalo_desc_007.hdf5\n",
"bak-subhalo_treelink_063.hdf5\t subhalo_desc_008.hdf5\n",
"bak-subhalo_treelink_064.hdf5\t subhalo_desc_009.hdf5\n",
"bak-subhalo_treelink_065.hdf5\t subhalo_desc_010.hdf5\n",
"bak-subhalo_treelink_066.hdf5\t subhalo_desc_011.hdf5\n",
"bak-subhalo_treelink_067.hdf5\t subhalo_desc_012.hdf5\n",
"bak-subhalo_treelink_068.hdf5\t subhalo_desc_013.hdf5\n",
"bak-subhalo_treelink_069.hdf5\t subhalo_desc_014.hdf5\n",
"bak-subhalo_treelink_070.hdf5\t subhalo_desc_015.hdf5\n",
"bak-subhalo_treelink_071.hdf5\t subhalo_desc_016.hdf5\n",
"bak-subhalo_treelink_072.hdf5\t subhalo_desc_017.hdf5\n",
"bak-subhalo_treelink_073.hdf5\t subhalo_desc_018.hdf5\n",
"bak-subhalo_treelink_074.hdf5\t subhalo_desc_019.hdf5\n",
"bak-subhalo_treelink_075.hdf5\t subhalo_desc_020.hdf5\n",
"bak-subhalo_treelink_076.hdf5\t subhalo_desc_021.hdf5\n",
"bak-subhalo_treelink_077.hdf5\t subhalo_desc_022.hdf5\n",
"bak-subhalo_treelink_078.hdf5\t subhalo_desc_023.hdf5\n",
"bak-subhalo_treelink_079.hdf5\t subhalo_desc_024.hdf5\n",
"bak-subhalo_treelink_080.hdf5\t subhalo_desc_025.hdf5\n",
"bak-subhalo_treelink_081.hdf5\t subhalo_desc_026.hdf5\n",
"bak-subhalo_treelink_082.hdf5\t subhalo_desc_027.hdf5\n",
"bak-subhalo_treelink_083.hdf5\t subhalo_desc_028.hdf5\n",
"bak-subhalo_treelink_084.hdf5\t subhalo_desc_029.hdf5\n",
"bak-subhalo_treelink_085.hdf5\t subhalo_desc_030.hdf5\n",
"bak-subhalo_treelink_086.hdf5\t subhalo_desc_031.hdf5\n",
"bak-subhalo_treelink_087.hdf5\t subhalo_desc_032.hdf5\n",
"bak-subhalo_treelink_088.hdf5\t subhalo_desc_033.hdf5\n",
"bak-subhalo_treelink_089.hdf5\t subhalo_desc_034.hdf5\n",
"bak-subhalo_treelink_090.hdf5\t subhalo_desc_035.hdf5\n",
"bak-subhalo_treelink_091.hdf5\t subhalo_desc_036.hdf5\n",
"bak-subhalo_treelink_092.hdf5\t subhalo_desc_037.hdf5\n",
"bak-subhalo_treelink_093.hdf5\t subhalo_desc_038.hdf5\n",
"bak-subhalo_treelink_094.hdf5\t subhalo_desc_039.hdf5\n",
"bak-subhalo_treelink_095.hdf5\t subhalo_desc_040.hdf5\n",
"bak-subhalo_treelink_096.hdf5\t subhalo_desc_041.hdf5\n",
"bak-subhalo_treelink_097.hdf5\t subhalo_desc_042.hdf5\n",
"bak-subhalo_treelink_098.hdf5\t subhalo_desc_043.hdf5\n",
"bak-subhalo_treelink_099.hdf5\t subhalo_desc_044.hdf5\n",
"bak-trees.hdf5\t\t\t subhalo_desc_045.hdf5\n",
"balance.txt\t\t\t subhalo_desc_046.hdf5\n",
"cpu.csv\t\t\t\t subhalo_desc_047.hdf5\n",
"cpu.txt\t\t\t\t subhalo_desc_048.hdf5\n",
"density.txt\t\t\t subhalo_desc_049.hdf5\n",
"domain.txt\t\t\t subhalo_desc_050.hdf5\n",
"energy.txt\t\t\t subhalo_desc_051.hdf5\n",
"fof_subhalo_tab_000.hdf5\t subhalo_desc_052.hdf5\n",
"fof_subhalo_tab_001.hdf5\t subhalo_desc_053.hdf5\n",
"fof_subhalo_tab_002.hdf5\t subhalo_desc_054.hdf5\n",
"fof_subhalo_tab_003.hdf5\t subhalo_desc_055.hdf5\n",
"fof_subhalo_tab_004.hdf5\t subhalo_desc_056.hdf5\n",
"fof_subhalo_tab_005.hdf5\t subhalo_desc_057.hdf5\n",
"fof_subhalo_tab_006.hdf5\t subhalo_desc_058.hdf5\n",
"fof_subhalo_tab_007.hdf5\t subhalo_desc_059.hdf5\n",
"fof_subhalo_tab_008.hdf5\t subhalo_desc_060.hdf5\n",
"fof_subhalo_tab_009.hdf5\t subhalo_desc_061.hdf5\n",
"fof_subhalo_tab_010.hdf5\t subhalo_desc_062.hdf5\n",
"fof_subhalo_tab_011.hdf5\t subhalo_desc_063.hdf5\n",
"fof_subhalo_tab_012.hdf5\t subhalo_desc_064.hdf5\n",
"fof_subhalo_tab_013.hdf5\t subhalo_desc_065.hdf5\n",
"fof_subhalo_tab_014.hdf5\t subhalo_desc_066.hdf5\n",
"fof_subhalo_tab_015.hdf5\t subhalo_desc_067.hdf5\n",
"fof_subhalo_tab_016.hdf5\t subhalo_desc_068.hdf5\n",
"fof_subhalo_tab_017.hdf5\t subhalo_desc_069.hdf5\n",
"fof_subhalo_tab_018.hdf5\t subhalo_desc_070.hdf5\n",
"fof_subhalo_tab_019.hdf5\t subhalo_desc_071.hdf5\n",
"fof_subhalo_tab_020.hdf5\t subhalo_desc_072.hdf5\n",
"fof_subhalo_tab_021.hdf5\t subhalo_desc_073.hdf5\n",
"fof_subhalo_tab_022.hdf5\t subhalo_desc_074.hdf5\n",
"fof_subhalo_tab_023.hdf5\t subhalo_desc_075.hdf5\n",
"fof_subhalo_tab_024.hdf5\t subhalo_desc_076.hdf5\n",
"fof_subhalo_tab_025.hdf5\t subhalo_desc_077.hdf5\n",
"fof_subhalo_tab_026.hdf5\t subhalo_desc_078.hdf5\n",
"fof_subhalo_tab_027.hdf5\t subhalo_desc_079.hdf5\n",
"fof_subhalo_tab_028.hdf5\t subhalo_desc_080.hdf5\n",
"fof_subhalo_tab_029.hdf5\t subhalo_desc_081.hdf5\n",
"fof_subhalo_tab_030.hdf5\t subhalo_desc_082.hdf5\n",
"fof_subhalo_tab_031.hdf5\t subhalo_desc_083.hdf5\n",
"fof_subhalo_tab_032.hdf5\t subhalo_desc_084.hdf5\n",
"fof_subhalo_tab_033.hdf5\t subhalo_desc_085.hdf5\n",
"fof_subhalo_tab_034.hdf5\t subhalo_desc_086.hdf5\n",
"fof_subhalo_tab_035.hdf5\t subhalo_desc_087.hdf5\n",
"fof_subhalo_tab_036.hdf5\t subhalo_desc_088.hdf5\n",
"fof_subhalo_tab_037.hdf5\t subhalo_desc_089.hdf5\n",
"fof_subhalo_tab_038.hdf5\t subhalo_desc_090.hdf5\n",
"fof_subhalo_tab_039.hdf5\t subhalo_desc_091.hdf5\n",
"fof_subhalo_tab_040.hdf5\t subhalo_desc_092.hdf5\n",
"fof_subhalo_tab_041.hdf5\t subhalo_desc_093.hdf5\n",
"fof_subhalo_tab_042.hdf5\t subhalo_desc_094.hdf5\n",
"fof_subhalo_tab_043.hdf5\t subhalo_desc_095.hdf5\n",
"fof_subhalo_tab_044.hdf5\t subhalo_desc_096.hdf5\n",
"fof_subhalo_tab_045.hdf5\t subhalo_desc_097.hdf5\n",
"fof_subhalo_tab_046.hdf5\t subhalo_desc_098.hdf5\n",
"fof_subhalo_tab_047.hdf5\t subhalo_prog_001.hdf5\n",
"fof_subhalo_tab_048.hdf5\t subhalo_prog_002.hdf5\n",
"fof_subhalo_tab_049.hdf5\t subhalo_prog_003.hdf5\n",
"fof_subhalo_tab_050.hdf5\t subhalo_prog_004.hdf5\n",
"fof_subhalo_tab_051.hdf5\t subhalo_prog_005.hdf5\n",
"fof_subhalo_tab_052.hdf5\t subhalo_prog_006.hdf5\n",
"fof_subhalo_tab_053.hdf5\t subhalo_prog_007.hdf5\n",
"fof_subhalo_tab_054.hdf5\t subhalo_prog_008.hdf5\n",
"fof_subhalo_tab_055.hdf5\t subhalo_prog_009.hdf5\n",
"fof_subhalo_tab_056.hdf5\t subhalo_prog_010.hdf5\n",
"fof_subhalo_tab_057.hdf5\t subhalo_prog_011.hdf5\n",
"fof_subhalo_tab_058.hdf5\t subhalo_prog_012.hdf5\n",
"fof_subhalo_tab_059.hdf5\t subhalo_prog_013.hdf5\n",
"fof_subhalo_tab_060.hdf5\t subhalo_prog_014.hdf5\n",
"fof_subhalo_tab_061.hdf5\t subhalo_prog_015.hdf5\n",
"fof_subhalo_tab_062.hdf5\t subhalo_prog_016.hdf5\n",
"fof_subhalo_tab_063.hdf5\t subhalo_prog_017.hdf5\n",
"fof_subhalo_tab_064.hdf5\t subhalo_prog_018.hdf5\n",
"fof_subhalo_tab_065.hdf5\t subhalo_prog_019.hdf5\n",
"fof_subhalo_tab_066.hdf5\t subhalo_prog_020.hdf5\n",
"fof_subhalo_tab_067.hdf5\t subhalo_prog_021.hdf5\n",
"fof_subhalo_tab_068.hdf5\t subhalo_prog_022.hdf5\n",
"fof_subhalo_tab_069.hdf5\t subhalo_prog_023.hdf5\n",
"fof_subhalo_tab_070.hdf5\t subhalo_prog_024.hdf5\n",
"fof_subhalo_tab_071.hdf5\t subhalo_prog_025.hdf5\n",
"fof_subhalo_tab_072.hdf5\t subhalo_prog_026.hdf5\n",
"fof_subhalo_tab_073.hdf5\t subhalo_prog_027.hdf5\n",
"fof_subhalo_tab_074.hdf5\t subhalo_prog_028.hdf5\n",
"fof_subhalo_tab_075.hdf5\t subhalo_prog_029.hdf5\n",
"fof_subhalo_tab_076.hdf5\t subhalo_prog_030.hdf5\n",
"fof_subhalo_tab_077.hdf5\t subhalo_prog_031.hdf5\n",
"fof_subhalo_tab_078.hdf5\t subhalo_prog_032.hdf5\n",
"fof_subhalo_tab_079.hdf5\t subhalo_prog_033.hdf5\n",
"fof_subhalo_tab_080.hdf5\t subhalo_prog_034.hdf5\n",
"fof_subhalo_tab_081.hdf5\t subhalo_prog_035.hdf5\n",
"fof_subhalo_tab_082.hdf5\t subhalo_prog_036.hdf5\n",
"fof_subhalo_tab_083.hdf5\t subhalo_prog_037.hdf5\n",
"fof_subhalo_tab_084.hdf5\t subhalo_prog_038.hdf5\n",
"fof_subhalo_tab_085.hdf5\t subhalo_prog_039.hdf5\n",
"fof_subhalo_tab_086.hdf5\t subhalo_prog_040.hdf5\n",
"fof_subhalo_tab_087.hdf5\t subhalo_prog_041.hdf5\n",
"fof_subhalo_tab_088.hdf5\t subhalo_prog_042.hdf5\n",
"fof_subhalo_tab_089.hdf5\t subhalo_prog_043.hdf5\n",
"fof_subhalo_tab_090.hdf5\t subhalo_prog_044.hdf5\n",
"fof_subhalo_tab_091.hdf5\t subhalo_prog_045.hdf5\n",
"fof_subhalo_tab_092.hdf5\t subhalo_prog_046.hdf5\n",
"fof_subhalo_tab_093.hdf5\t subhalo_prog_047.hdf5\n",
"fof_subhalo_tab_094.hdf5\t subhalo_prog_048.hdf5\n",
"fof_subhalo_tab_095.hdf5\t subhalo_prog_049.hdf5\n",
"fof_subhalo_tab_096.hdf5\t subhalo_prog_050.hdf5\n",
"fof_subhalo_tab_097.hdf5\t subhalo_prog_051.hdf5\n",
"fof_subhalo_tab_098.hdf5\t subhalo_prog_052.hdf5\n",
"fof_subhalo_tab_099.hdf5\t subhalo_prog_053.hdf5\n",
"hydro.txt\t\t\t subhalo_prog_054.hdf5\n",
"info.txt\t\t\t subhalo_prog_055.hdf5\n",
"memory_ghostranks.txt\t\t subhalo_prog_056.hdf5\n",
"memory.txt\t\t\t subhalo_prog_057.hdf5\n",
"parameters-usedvalues\t\t subhalo_prog_058.hdf5\n",
"snapshot_000_cut.hdf5\t\t subhalo_prog_059.hdf5\n",
"snapshot_001_cut.hdf5\t\t subhalo_prog_060.hdf5\n",
"snapshot_002_cut.hdf5\t\t subhalo_prog_061.hdf5\n",
"snapshot_003_cut.hdf5\t\t subhalo_prog_062.hdf5\n",
"snapshot_004_cut.hdf5\t\t subhalo_prog_063.hdf5\n",
"snapshot_005_cut.hdf5\t\t subhalo_prog_064.hdf5\n",
"snapshot_006_cut.hdf5\t\t subhalo_prog_065.hdf5\n",
"snapshot_007_cut.hdf5\t\t subhalo_prog_066.hdf5\n",
"snapshot_008_cut.hdf5\t\t subhalo_prog_067.hdf5\n",
"snapshot_009_cut.hdf5\t\t subhalo_prog_068.hdf5\n",
"snapshot_010_cut.hdf5\t\t subhalo_prog_069.hdf5\n",
"snapshot_011_cut.hdf5\t\t subhalo_prog_070.hdf5\n",
"snapshot_012_cut.hdf5\t\t subhalo_prog_071.hdf5\n",
"snapshot_013_cut.hdf5\t\t subhalo_prog_072.hdf5\n",
"snapshot_014_cut.hdf5\t\t subhalo_prog_073.hdf5\n",
"snapshot_015_cut.hdf5\t\t subhalo_prog_074.hdf5\n",
"snapshot_016_cut.hdf5\t\t subhalo_prog_075.hdf5\n",
"snapshot_017_cut.hdf5\t\t subhalo_prog_076.hdf5\n",
"snapshot_018_cut.hdf5\t\t subhalo_prog_077.hdf5\n",
"snapshot_019_cut.hdf5\t\t subhalo_prog_078.hdf5\n",
"snapshot_020_cut.hdf5\t\t subhalo_prog_079.hdf5\n",
"snapshot_021_cut.hdf5\t\t subhalo_prog_080.hdf5\n",
"snapshot_022_cut.hdf5\t\t subhalo_prog_081.hdf5\n",
"snapshot_023_cut.hdf5\t\t subhalo_prog_082.hdf5\n",
"snapshot_024_cut.hdf5\t\t subhalo_prog_083.hdf5\n",
"snapshot_025_cut.hdf5\t\t subhalo_prog_084.hdf5\n",
"snapshot_026_cut.hdf5\t\t subhalo_prog_085.hdf5\n",
"snapshot_027_cut.hdf5\t\t subhalo_prog_086.hdf5\n",
"snapshot_028_cut.hdf5\t\t subhalo_prog_087.hdf5\n",
"snapshot_029_cut.hdf5\t\t subhalo_prog_088.hdf5\n",
"snapshot_030_cut.hdf5\t\t subhalo_prog_089.hdf5\n",
"snapshot_031_cut.hdf5\t\t subhalo_prog_090.hdf5\n",
"snapshot_032_cut.hdf5\t\t subhalo_prog_091.hdf5\n",
"snapshot_033_cut.hdf5\t\t subhalo_prog_092.hdf5\n",
"snapshot_034_cut.hdf5\t\t subhalo_prog_093.hdf5\n",
"snapshot_035_cut.hdf5\t\t subhalo_prog_094.hdf5\n",
"snapshot_036_cut.hdf5\t\t subhalo_prog_095.hdf5\n",
"snapshot_037_cut.hdf5\t\t subhalo_prog_096.hdf5\n",
"snapshot_038_cut.hdf5\t\t subhalo_prog_097.hdf5\n",
"snapshot_039_cut.hdf5\t\t subhalo_prog_098.hdf5\n",
"snapshot_040_cut.hdf5\t\t subhalo_prog_099.hdf5\n",
"snapshot_041_cut.hdf5\t\t subhalo_treelink_000.hdf5\n",
"snapshot_042_cut.hdf5\t\t subhalo_treelink_001.hdf5\n",
"snapshot_043_cut.hdf5\t\t subhalo_treelink_002.hdf5\n",
"snapshot_044_cut.hdf5\t\t subhalo_treelink_003.hdf5\n",
"snapshot_045_cut.hdf5\t\t subhalo_treelink_004.hdf5\n",
"snapshot_046_cut.hdf5\t\t subhalo_treelink_005.hdf5\n",
"snapshot_047_cut.hdf5\t\t subhalo_treelink_006.hdf5\n",
"snapshot_048_cut.hdf5\t\t subhalo_treelink_007.hdf5\n",
"snapshot_049_cut.hdf5\t\t subhalo_treelink_008.hdf5\n",
"snapshot_050_cut.hdf5\t\t subhalo_treelink_009.hdf5\n",
"snapshot_051_cut.hdf5\t\t subhalo_treelink_010.hdf5\n",
"snapshot_052_cut.hdf5\t\t subhalo_treelink_011.hdf5\n",
"snapshot_053_cut.hdf5\t\t subhalo_treelink_012.hdf5\n",
"snapshot_054_cut.hdf5\t\t subhalo_treelink_013.hdf5\n",
"snapshot_055_cut.hdf5\t\t subhalo_treelink_014.hdf5\n",
"snapshot_056_cut.hdf5\t\t subhalo_treelink_015.hdf5\n",
"snapshot_057_cut.hdf5\t\t subhalo_treelink_016.hdf5\n",
"snapshot_058_cut.hdf5\t\t subhalo_treelink_017.hdf5\n",
"snapshot_059_cut.hdf5\t\t subhalo_treelink_018.hdf5\n",
"snapshot_060_cut.hdf5\t\t subhalo_treelink_019.hdf5\n",
"snapshot_061_cut.hdf5\t\t subhalo_treelink_020.hdf5\n",
"snapshot_062_cut.hdf5\t\t subhalo_treelink_021.hdf5\n",
"snapshot_063_cut.hdf5\t\t subhalo_treelink_022.hdf5\n",
"snapshot_064_cut.hdf5\t\t subhalo_treelink_023.hdf5\n",
"snapshot_065_cut.hdf5\t\t subhalo_treelink_024.hdf5\n",
"snapshot_066_cut.hdf5\t\t subhalo_treelink_025.hdf5\n",
"snapshot_067_cut.hdf5\t\t subhalo_treelink_026.hdf5\n",
"snapshot_068_cut.hdf5\t\t subhalo_treelink_027.hdf5\n",
"snapshot_069_cut.hdf5\t\t subhalo_treelink_028.hdf5\n",
"snapshot_070_cut.hdf5\t\t subhalo_treelink_029.hdf5\n",
"snapshot_071_cut.hdf5\t\t subhalo_treelink_030.hdf5\n",
"snapshot_072_cut.hdf5\t\t subhalo_treelink_031.hdf5\n",
"snapshot_073_cut.hdf5\t\t subhalo_treelink_032.hdf5\n",
"snapshot_074_cut.hdf5\t\t subhalo_treelink_033.hdf5\n",
"snapshot_075_cut.hdf5\t\t subhalo_treelink_034.hdf5\n",
"snapshot_076_cut.hdf5\t\t subhalo_treelink_035.hdf5\n",
"snapshot_077_cut.hdf5\t\t subhalo_treelink_036.hdf5\n",
"snapshot_078_cut.hdf5\t\t subhalo_treelink_037.hdf5\n",
"snapshot_079_cut.hdf5\t\t subhalo_treelink_038.hdf5\n",
"snapshot_080_cut.hdf5\t\t subhalo_treelink_039.hdf5\n",
"snapshot_081_cut.hdf5\t\t subhalo_treelink_040.hdf5\n",
"snapshot_082_cut.hdf5\t\t subhalo_treelink_041.hdf5\n",
"snapshot_083_cut.hdf5\t\t subhalo_treelink_042.hdf5\n",
"snapshot_084_cut.hdf5\t\t subhalo_treelink_043.hdf5\n",
"snapshot_085_cut.hdf5\t\t subhalo_treelink_044.hdf5\n",
"snapshot_086_cut.hdf5\t\t subhalo_treelink_045.hdf5\n",
"snapshot_087_cut.hdf5\t\t subhalo_treelink_046.hdf5\n",
"snapshot_088_cut.hdf5\t\t subhalo_treelink_047.hdf5\n",
"snapshot_089_cut.hdf5\t\t subhalo_treelink_048.hdf5\n",
"snapshot_090_cut.hdf5\t\t subhalo_treelink_049.hdf5\n",
"snapshot_091_cut.hdf5\t\t subhalo_treelink_050.hdf5\n",
"snapshot_092_cut.hdf5\t\t subhalo_treelink_051.hdf5\n",
"snapshot_093_cut.hdf5\t\t subhalo_treelink_052.hdf5\n",
"snapshot_094_cut.hdf5\t\t subhalo_treelink_053.hdf5\n",
"snapshot_095_cut.hdf5\t\t subhalo_treelink_054.hdf5\n",
"snapshot_096_cut.hdf5\t\t subhalo_treelink_055.hdf5\n",
"snapshot_097_cut.hdf5\t\t subhalo_treelink_056.hdf5\n",
"snapshot_098_cut.hdf5\t\t subhalo_treelink_057.hdf5\n",
"snapshot_099_full.hdf5\t\t subhalo_treelink_058.hdf5\n",
"snapshot-prevmostboundonly_000.hdf5 subhalo_treelink_059.hdf5\n",
"snapshot-prevmostboundonly_001.hdf5 subhalo_treelink_060.hdf5\n",
"snapshot-prevmostboundonly_002.hdf5 subhalo_treelink_061.hdf5\n",
"snapshot-prevmostboundonly_003.hdf5 subhalo_treelink_062.hdf5\n",
"snapshot-prevmostboundonly_004.hdf5 subhalo_treelink_063.hdf5\n",
"snapshot-prevmostboundonly_005.hdf5 subhalo_treelink_064.hdf5\n",
"snapshot-prevmostboundonly_006.hdf5 subhalo_treelink_065.hdf5\n",
"snapshot-prevmostboundonly_007.hdf5 subhalo_treelink_066.hdf5\n",
"snapshot-prevmostboundonly_008.hdf5 subhalo_treelink_067.hdf5\n",
"snapshot-prevmostboundonly_009.hdf5 subhalo_treelink_068.hdf5\n",
"snapshot-prevmostboundonly_010.hdf5 subhalo_treelink_069.hdf5\n",
"snapshot-prevmostboundonly_011.hdf5 subhalo_treelink_070.hdf5\n",
"snapshot-prevmostboundonly_012.hdf5 subhalo_treelink_071.hdf5\n",
"snapshot-prevmostboundonly_013.hdf5 subhalo_treelink_072.hdf5\n",
"snapshot-prevmostboundonly_014.hdf5 subhalo_treelink_073.hdf5\n",
"snapshot-prevmostboundonly_015.hdf5 subhalo_treelink_074.hdf5\n",
"snapshot-prevmostboundonly_016.hdf5 subhalo_treelink_075.hdf5\n",
"snapshot-prevmostboundonly_017.hdf5 subhalo_treelink_076.hdf5\n",
"snapshot-prevmostboundonly_018.hdf5 subhalo_treelink_077.hdf5\n",
"snapshot-prevmostboundonly_019.hdf5 subhalo_treelink_078.hdf5\n",
"snapshot-prevmostboundonly_020.hdf5 subhalo_treelink_079.hdf5\n",
"snapshot-prevmostboundonly_021.hdf5 subhalo_treelink_080.hdf5\n",
"snapshot-prevmostboundonly_022.hdf5 subhalo_treelink_081.hdf5\n",
"snapshot-prevmostboundonly_023.hdf5 subhalo_treelink_082.hdf5\n",
"snapshot-prevmostboundonly_024.hdf5 subhalo_treelink_083.hdf5\n",
"snapshot-prevmostboundonly_025.hdf5 subhalo_treelink_084.hdf5\n",
"snapshot-prevmostboundonly_026.hdf5 subhalo_treelink_085.hdf5\n",
"snapshot-prevmostboundonly_027.hdf5 subhalo_treelink_086.hdf5\n",
"snapshot-prevmostboundonly_028.hdf5 subhalo_treelink_087.hdf5\n",
"snapshot-prevmostboundonly_029.hdf5 subhalo_treelink_088.hdf5\n",
"snapshot-prevmostboundonly_030.hdf5 subhalo_treelink_089.hdf5\n",
"snapshot-prevmostboundonly_031.hdf5 subhalo_treelink_090.hdf5\n",
"snapshot-prevmostboundonly_032.hdf5 subhalo_treelink_091.hdf5\n",
"snapshot-prevmostboundonly_033.hdf5 subhalo_treelink_092.hdf5\n",
"snapshot-prevmostboundonly_034.hdf5 subhalo_treelink_093.hdf5\n",
"snapshot-prevmostboundonly_035.hdf5 subhalo_treelink_094.hdf5\n",
"snapshot-prevmostboundonly_036.hdf5 subhalo_treelink_095.hdf5\n",
"snapshot-prevmostboundonly_037.hdf5 subhalo_treelink_096.hdf5\n",
"snapshot-prevmostboundonly_038.hdf5 subhalo_treelink_097.hdf5\n",
"snapshot-prevmostboundonly_039.hdf5 subhalo_treelink_098.hdf5\n",
"snapshot-prevmostboundonly_040.hdf5 subhalo_treelink_099.hdf5\n",
"snapshot-prevmostboundonly_041.hdf5 timebins.txt\n",
"snapshot-prevmostboundonly_042.hdf5 timings.txt\n",
"snapshot-prevmostboundonly_043.hdf5 trees.hdf5\n",
"snapshot-prevmostboundonly_044.hdf5\n"
]
}
],
"source": [
"!ls /mnt/extraspace/rstiskalek/csiborg2_main/chain_15517/output"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 4,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"2023-11-16 12:14:34.150916: opening `/mnt/extraspace/rstiskalek/CSiBORG/processed_output/parts_FOF_07444.hdf5`.\n"
]
}
],
"source": [
"cat = csiborgtools.read.CSiBORGCatalogue(7444, paths, catalogue_name=\"halo_catalogue\", halo_finder=\"FOF\",\n",
" bounds={\"dist\": (0, 50)})"
]
},
{
"cell_type": "code",
"execution_count": 6,
"metadata": {},
"outputs": [],
"source": [
"d = np.load(paths.field(\"density\", \"PCS\", 512, 7444, False, None))"
]
},
{
"cell_type": "code",
"execution_count": 12,
"metadata": {},
"outputs": [],
"source": [
"over = d / d.mean() - 1"
]
},
{
"cell_type": "code",
"execution_count": 16,
"metadata": {},
"outputs": [],
"source": [
"import Pk_library as PKL"
]
},
{
"cell_type": "code",
"execution_count": 18,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"\n",
"Computing power spectrum of the field...\n",
"Time to complete loop = 8.22\n",
"Time taken = 15.66 seconds\n"
]
}
],
"source": [
"Pk = PKL.Pk(over, 677.6, 0, \"PCS\", 1, True)\n",
"\n",
"\n",
"# 3D P(k)\n",
"k = Pk.k3D\n",
"Pk0 = Pk.Pk[:,0] #monopole\n",
"Pk2 = Pk.Pk[:,1] #quadrupole\n",
"Pk4 = Pk.Pk[:,2] #hexadecapole\n",
"Pkphase = Pk.Pkphase #power spectrum of the phases\n",
"Nmodes = Pk.Nmodes3D"
]
},
{
"cell_type": "code",
"execution_count": 21,
"metadata": {},
"outputs": [
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAAicAAAGhCAYAAAC6URSFAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMiwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy8pXeV/AAAACXBIWXMAAA9hAAAPYQGoP6dpAAA8mUlEQVR4nO3dd3hUZeL+//vMTArphJAAIfRmQBIISagKmBXdFRdU7Iio6CJW1FW/+1Pcj/rx44qISCyIimJDWEHXrgiCFIFA6C3UUJIQAqmkzczvDzDCgkLIJGfK+3VduUxmTs650XMxt+c853kMp9PpFAAAgJuwmB0AAADgZJQTAADgVignAADArVBOAACAW6GcAAAAt0I5AQAAboVyAgAA3IrN7AC15XA4dODAAYWGhsowDLPjAACAc+B0OlVcXKwWLVrIYvnjayMeV04OHDiguLg4s2MAAIDzkJ2drZYtW/7hNh5XTkJDQyUd/8OFhYWZnAYAAJyLoqIixcXF1XyO/xGPKye/3soJCwujnAAA4GHOZUgGA2IBAIBboZwAAAC3QjkBAABuhXICAADcCuUEAAC4FcoJAABwK5QTAADgVignAADArVBOAACAW/GYcpKenq74+HglJyebHQUAANQjw+l0Os0OURtFRUUKDw9XYWEh09cDAOAhavP57TFXTgAAgG/wuIX/6ktBaaXyissVGuin0ECbQvxtsljOvjgRAABwLcrJCd9syNH/m7u+5mfDkEL8bQoNtNUUllO/P/7PsDO8VvN9AAUHAIDaopycYBhSk2B/FZdXq9LukNMpFVdUq7iiWiosP+/9hgTYfrfYHC83JxWagP8qPo38FBJgk5WCAwDwIQyIPYPyKruKy6tVXF514p+/fV90hteKK059rai8WpXVDpfl+e+Cc3Gnprp3cAcZBqUFAOAZavP5zZWTMwj0syrQz6qmoQHnvY+KavvpJeZEcfnv137drui/tvu14JRUVKukoloHC4/vO2PPEQX5W3XHgHau+OMCAOBWKCf1JMBmVUCIVVEhri04y3Yc1tQFWXr+my1KaRup7i0jXBcaAAA3QDlxY2cqOH3bN9GOQyX6ekOO7vlwjb64r7/CAv1MTAkAgGsxz4mHMQxD/3d1d8VGNNLegjI9/ul6ediwIQAA/hDlxAOFN/LTKzf2kM1i6Mt1B/XRimyzIwEA4DKUEw/Vs1VjPTKksyTpn//ZqC05RSYnAgDANSgnHmzMgHYa2LmpKqoduufDNSqrrDY7EgAAdUY58WAWi6EXRyQoOjRAWXkleurzjWZHAgCgzignHq5JSIAmX58ow5A+WbVP89bsNzsSAAB1QjnxAn3bR+m+wR0lSf+Yu1678ktNTgQAwPmjnHiJ+y7pqNS2kSqttOueD1erotpudiQAAM4L5cRLWC2GXr6+hyKD/bXxQJGe+2qL2ZEAADgvlBMv0iw8UC+OSJAkzVi6W99uzDE5EQAAtUc58TKDukRrzIC2kqRHZq/VviNlJicCAKB2PKacpKenKz4+XsnJyWZHcXuPDOmihLgIFZVX676P1qjK7jA7EgAA58xwetjCLEVFRQoPD1dhYaHCwsLMjuO2sgvK9Ocpi1VcXq2xA9vr0cu6mB0JAODDavP57TFXTlA7cZFBev7q7pKk1xbu0KJth0xOBADAuaGceLE/X9hcN6W2kiSN/yRTeUXlJicCAODsKCde7okr4tWlWajySyr1wKxM2R0edRcPAOCDKCdeLtDPqqk39lQjP6uW7jisVxdkmR0JAIA/RDnxAR2iQ/T0sG6SpJd+2KYVuwpMTgQAwO+jnPiIa5Ja6qoesXI4pfs/XqMjpZVmRwIA4IwoJz7k6WHd1C4qWAcLy/Xw7LXysKfIAQA+gnLiQ4IDbHrlxh7yt1k0f0ue3vp5l9mRAAA4DeXEx3RtEa4n/nKBJOn5b7Zo3b6j5gYCAOC/UE580M29W+vybs1UZXfqng/XqKi8yuxIAADUsJkdAA3PMAz939XdtW5fofYWlOnxT9dr6g09ZBhGvR97d36ptuQU/ZrkRJ6Tf1JNjt9+PnkbQwF+FqW2bSKrpf7zAgAaHuXER4U38tMrN/bQta8v05frDqpf+yjdeGI22fqwJadIr/yYpa/WH5QrxuH27xCld0Yny8/KxT8A8DYs/Ofj3vhph577eosCbBZ9dk8/dWnm2n+nG/YXasr87fpuU27Na91bhivAZpHTKf168v16Gv7282/f67/e25ZbrPIqh27u3UpP/7Vbg1zxAQDUTW0+v7ly4uPGDGinZTsPa+HWQ7rnwzX6/J5+CvKv+2mxeu8RvTJ/uxZsPb7goGFIf+7WXPcM7qALmtetAH2/KVd3zlyl95fvVcfoUI3q26bOeQEA7oMrJ9Dhkgpd/vJi5RVX6NpeLfWvaxLOe1+/7DysV37M0s9Z+ZIkiyFdmdBC9wzuoA7Roa6KXHPFx2JIM0an6KJOTV22bwCA69Xm85tyAknS0h35umn6L3I6pcnXJWpYj9hz/l2n06klWYc15cftNVPj2yyGhveI1d2DOqhtVLDL8zqdTj0yZ53mZOxTaKBNc+/u69LyAwBwLcoJzsuk77dpyvztCva36ov7Bpy1VDidTi3cekhTftyuNXuPSpL8rRZd06ulxl7cXnGRQfWat6Larpun/6KVu4+odZMgzbu7nxoH+9frMQEA54dygvNidzh145vL9cuuAnVtEaZP7+6rAJv1tO0cDqe+35yrqT9maf3+QklSgM2iG1Ja6a6L26l5eKMGy3y4pEJ/TV+ifUeOKbVtpGbenip/G0/wAIC7oZzgvOUUluvPUxaroLRSt/Zto6eu7Frznt3h1NcbDmrqj1naklMsSWrkZ9XIPq11x4C2ig4NNCXz1pxiXf3aUpVUVOuGlDj97/ALeYIHANwM5QR1smBLnkbPWClJemNkki7pEq3P1x5Q+oIs7ThUKkkKCbBpVN/Wur1/O0W6wa2UBVvydPu7K+VwSk9cEa/b+7c1OxIA4CSUE9TZs19u0puLdyks0KbGwf7ac7hMkhQWaNNt/dtqdN+2Cg/yMznlqaYv3qlnvtwsiyG9NSpZg7pEmx0JAHBCbT6/uTmPM3pkSBclxEWoqLxaew6XKTLYX48M6awljw3WA2md3K6YSNLt/dvq+uQ4OZzSvR+t0dYTt54AAJ6FKyf4XfuPHtPzX2/RhbHhuql3K5dMzlbfKqsdGvnWL/plV4FaNm6kz8b1U5OQALNjAYDP47YOfNqR0koNe3WJ9hwuU3Kbxnr/jtQzPnUEAGg43NaBT2sc7K+3RvVSaIBNK3cf0T/mbpCHdXAA8GmUE3ilDtGhmnpTT1kMaU7GPk1btNPsSACAc0Q5gde6uFNTPXlFvCTp/77Zors/yNDMZbu1PbeYKykA4Mbcf4QjUAej+rbRzvxSvbdsj75an6Ov1udIkpoE+yu1XaR6t2ui3u2aqGN0CBO3AYCbYEAsvJ7T6dTqvUe0bMdhLd9ZoFV7ClRe5ThlmybB/kpp+1tZ6RRDWQEAV/KIp3XKysp0wQUXaMSIEZo4ceI5/x7lBHVVWe3Qun1HtXzn75eVIV1j9PrNSRQUAHCR2nx+m3Zb59lnn1Xv3r3NOjx8mL/Nol5tItWrTaTuGXy8rKzff1TLdxacKCyH9e3GXH28Mls3pLQyOy4A+BxTBsRu375dW7Zs0eWXX27G4YFT+NssSmodqXGDOmjm7al69LIukqT//WqzcovKTU4HAL6n1uVk0aJFGjp0qFq0aCHDMDRv3rzTtklPT1ebNm0UGBio1NRUrVix4pT3H374YT333HPnHRqoT6P7tVVCy3AVl1friXnMkQIADa3W5aS0tFQJCQlKT08/4/uzZs3S+PHjNWHCBK1evVoJCQkaMmSI8vLyJEmfffaZOnXqpE6dOtUtOVBPrBZD/3d1d9kshr7blKuvN+SYHQkAfEqdBsQahqG5c+dq2LBhNa+lpqYqOTlZU6dOlSQ5HA7FxcXp3nvv1WOPPabHH39c77//vqxWq0pKSlRVVaWHHnpITz755BmPUVFRoYqKipqfi4qKFBcXx4B
"text/plain": [
"<Figure size 640x480 with 1 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"plt.figure()\n",
"plt.plot(k, Pk0, label='monopole')\n",
"plt.xscale('log')\n",
"plt.yscale('log')\n",
"plt.show()"
]
},
{
"cell_type": "code",
"execution_count": 15,
"metadata": {},
"outputs": [
{
"name": "stderr",
"output_type": "stream",
"text": [
"/tmp/ipykernel_1249/2763035238.py:2: RuntimeWarning: divide by zero encountered in log10\n",
" plt.imshow(np.log10(over[0, :, :] + 1))\n"
]
},
{
"data": {
"image/png": "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
"text/plain": [
"<Figure size 640x480 with 2 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"plt.figure()\n",
"plt.imshow(np.log10(over[0, :, :] + 1))\n",
"plt.colorbar()\n",
"\n",
"plt.show()"
]
},
{
"cell_type": "code",
"execution_count": 6,
"metadata": {},
"outputs": [],
"source": [
"pos = cat[\"snapshot_final/pos\"]"
]
},
{
"cell_type": "code",
"execution_count": 8,
"metadata": {},
"outputs": [],
"source": [
"pos = pos[:]"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 7,
"metadata": {},
"outputs": [],
"source": [
"totmass = numpy.sum(cat[\"snapshot_final/mass\"][:])"
]
},
{
"cell_type": "code",
"execution_count": 10,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"284.507446985742"
]
},
"execution_count": 10,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"totmass / (677.7 * 1000)**3 / 0.3"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 8,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"{'RA': 186.75, 'DEC': 12.717, 'dist': 11.28}\n"
]
}
],
"source": [
"virgo = csiborgtools.virgo\n",
"\n",
"print(virgo)\n",
"X = np.array([virgo[\"dist\"], virgo[\"RA\"], virgo[\"DEC\"]]).reshape(-1, 3)\n",
"\n",
"\n",
"dist, indxs = cat.angular_neighbours(X, False, 20, radial_tolerance=5)\n",
"dist, indxs = dist[0], indxs[0]"
]
},
{
"cell_type": "code",
"execution_count": 7,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"array([], dtype=float64)"
]
},
"execution_count": 7,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"dist"
]
},
{
"cell_type": "code",
"execution_count": 308,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"14.294649\n"
]
}
],
"source": [
"k = np.argmax(cat[\"mtot\"])\n",
"\n",
"k = np.argsort(cat[\"mtot\"])[::-1][8]\n",
"\n",
"c = cat[\"cartesian_pos\"][k]\n",
"\n",
"print(np.log10(cat[\"mtot\"][k]))"
]
},
{
"cell_type": "code",
"execution_count": 309,
"metadata": {},
"outputs": [],
"source": [
"indx1 = cat.select_in_box(c, 20)\n",
"pos1 = cat[\"cartesian_pos\"][indx1]\n",
"\n",
"indx2 = pcat.select_in_box(c, 20)\n",
"pos2 = pcat[\"cartesian_pos\"][indx2]\n",
"\n",
"m1 = cat[\"mtot\"][indx1]\n",
"m2 = pcat[\"summed_mass\"][indx2]"
]
},
{
"cell_type": "code",
"execution_count": 311,
"metadata": {},
"outputs": [
{
"data": {
"image/png": "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
"text/plain": [
"<Figure size 640x480 with 2 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"plt.figure()\n",
"plt.scatter(pos1[:, 0], pos1[:, 1], c=np.log10(m1))\n",
"plt.colorbar()\n",
"plt.scatter(pos2[:, 0], pos2[:, 1], marker=\"x\", c=np.log10(m2))\n",
"\n",
"plt.show()"
]
},
{
"cell_type": "code",
"execution_count": 293,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"{'RA': 195, 'DEC': 28, 'dist': 70.5}\n"
]
}
],
"source": [
"virgo = csiborgtools.virgo\n",
"\n",
"print(virgo)\n",
"X = np.array([virgo[\"dist\"], virgo[\"RA\"], virgo[\"DEC\"]]).reshape(-1, 3)\n",
"\n",
"\n",
"dist, indxs = cat.angular_neighbours(X, False, 20, radial_tolerance=5)\n",
"dist, indxs = dist[0], indxs[0]"
]
},
{
"cell_type": "code",
"execution_count": 294,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"[]\n"
]
},
{
"ename": "ValueError",
"evalue": "attempt to get argmax of an empty sequence",
"output_type": "error",
"traceback": [
"\u001b[0;31m---------------------------------------------------------------------------\u001b[0m",
"\u001b[0;31mValueError\u001b[0m Traceback (most recent call last)",
"\u001b[1;32m/mnt/zfsusers/rstiskalek/csiborgtools/notebooks/test.ipynb Cell 9\u001b[0m line \u001b[0;36m3\n\u001b[1;32m <a href='vscode-notebook-cell://ssh-remote%2Bglamdring.physics.ox.ac.uk/mnt/zfsusers/rstiskalek/csiborgtools/notebooks/test.ipynb#Y234sdnNjb2RlLXJlbW90ZQ%3D%3D?line=0'>1</a>\u001b[0m \u001b[39mprint\u001b[39m(cat[\u001b[39m\"\u001b[39m\u001b[39mmtot\u001b[39m\u001b[39m\"\u001b[39m][indxs])\n\u001b[0;32m----> <a href='vscode-notebook-cell://ssh-remote%2Bglamdring.physics.ox.ac.uk/mnt/zfsusers/rstiskalek/csiborgtools/notebooks/test.ipynb#Y234sdnNjb2RlLXJlbW90ZQ%3D%3D?line=2'>3</a>\u001b[0m k \u001b[39m=\u001b[39m np\u001b[39m.\u001b[39;49margmax(cat[\u001b[39m\"\u001b[39;49m\u001b[39mmtot\u001b[39;49m\u001b[39m\"\u001b[39;49m][indxs])\n\u001b[1;32m <a href='vscode-notebook-cell://ssh-remote%2Bglamdring.physics.ox.ac.uk/mnt/zfsusers/rstiskalek/csiborgtools/notebooks/test.ipynb#Y234sdnNjb2RlLXJlbW90ZQ%3D%3D?line=3'>4</a>\u001b[0m kk \u001b[39m=\u001b[39m indxs[k]\n\u001b[1;32m <a href='vscode-notebook-cell://ssh-remote%2Bglamdring.physics.ox.ac.uk/mnt/zfsusers/rstiskalek/csiborgtools/notebooks/test.ipynb#Y234sdnNjb2RlLXJlbW90ZQ%3D%3D?line=4'>5</a>\u001b[0m dist[k], np\u001b[39m.\u001b[39mlog10(cat[\u001b[39m\"\u001b[39m\u001b[39mmtot\u001b[39m\u001b[39m\"\u001b[39m][indxs[k]]), cat[\u001b[39m\"\u001b[39m\u001b[39mindex\u001b[39m\u001b[39m\"\u001b[39m][indxs[k]]\n",
"File \u001b[0;32m<__array_function__ internals>:200\u001b[0m, in \u001b[0;36margmax\u001b[0;34m(*args, **kwargs)\u001b[0m\n",
"File \u001b[0;32m~/csiborgtools/venv_csiborg/lib/python3.11/site-packages/numpy/core/fromnumeric.py:1242\u001b[0m, in \u001b[0;36margmax\u001b[0;34m(a, axis, out, keepdims)\u001b[0m\n\u001b[1;32m 1155\u001b[0m \u001b[39m\u001b[39m\u001b[39m\"\"\"\u001b[39;00m\n\u001b[1;32m 1156\u001b[0m \u001b[39mReturns the indices of the maximum values along an axis.\u001b[39;00m\n\u001b[1;32m 1157\u001b[0m \n\u001b[0;32m (...)\u001b[0m\n\u001b[1;32m 1239\u001b[0m \u001b[39m(2, 1, 4)\u001b[39;00m\n\u001b[1;32m 1240\u001b[0m \u001b[39m\"\"\"\u001b[39;00m\n\u001b[1;32m 1241\u001b[0m kwds \u001b[39m=\u001b[39m {\u001b[39m'\u001b[39m\u001b[39mkeepdims\u001b[39m\u001b[39m'\u001b[39m: keepdims} \u001b[39mif\u001b[39;00m keepdims \u001b[39mis\u001b[39;00m \u001b[39mnot\u001b[39;00m np\u001b[39m.\u001b[39m_NoValue \u001b[39melse\u001b[39;00m {}\n\u001b[0;32m-> 1242\u001b[0m \u001b[39mreturn\u001b[39;00m _wrapfunc(a, \u001b[39m'\u001b[39;49m\u001b[39margmax\u001b[39;49m\u001b[39m'\u001b[39;49m, axis\u001b[39m=\u001b[39;49maxis, out\u001b[39m=\u001b[39;49mout, \u001b[39m*\u001b[39;49m\u001b[39m*\u001b[39;49mkwds)\n",
"File \u001b[0;32m~/csiborgtools/venv_csiborg/lib/python3.11/site-packages/numpy/core/fromnumeric.py:57\u001b[0m, in \u001b[0;36m_wrapfunc\u001b[0;34m(obj, method, *args, **kwds)\u001b[0m\n\u001b[1;32m 54\u001b[0m \u001b[39mreturn\u001b[39;00m _wrapit(obj, method, \u001b[39m*\u001b[39margs, \u001b[39m*\u001b[39m\u001b[39m*\u001b[39mkwds)\n\u001b[1;32m 56\u001b[0m \u001b[39mtry\u001b[39;00m:\n\u001b[0;32m---> 57\u001b[0m \u001b[39mreturn\u001b[39;00m bound(\u001b[39m*\u001b[39;49margs, \u001b[39m*\u001b[39;49m\u001b[39m*\u001b[39;49mkwds)\n\u001b[1;32m 58\u001b[0m \u001b[39mexcept\u001b[39;00m \u001b[39mTypeError\u001b[39;00m:\n\u001b[1;32m 59\u001b[0m \u001b[39m# A TypeError occurs if the object does have such a method in its\u001b[39;00m\n\u001b[1;32m 60\u001b[0m \u001b[39m# class, but its signature is not identical to that of NumPy's. This\u001b[39;00m\n\u001b[0;32m (...)\u001b[0m\n\u001b[1;32m 64\u001b[0m \u001b[39m# Call _wrapit from within the except clause to ensure a potential\u001b[39;00m\n\u001b[1;32m 65\u001b[0m \u001b[39m# exception has a traceback chain.\u001b[39;00m\n\u001b[1;32m 66\u001b[0m \u001b[39mreturn\u001b[39;00m _wrapit(obj, method, \u001b[39m*\u001b[39margs, \u001b[39m*\u001b[39m\u001b[39m*\u001b[39mkwds)\n",
"\u001b[0;31mValueError\u001b[0m: attempt to get argmax of an empty sequence"
]
}
],
"source": [
"print(cat[\"mtot\"][indxs])\n",
"\n",
"k = np.argmax(cat[\"mtot\"][indxs])\n",
"kk = indxs[k]\n",
"dist[k], np.log10(cat[\"mtot\"][indxs[k]]), cat[\"index\"][indxs[k]]"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 234,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"[87053 61697 53097 80742 84525 4223 22315 1717 46519 23735]\n"
]
}
],
"source": [
"print(np.argsort(pcat[\"summed_mass\"])[::-1][:10])\n",
" \n",
"k = 46519"
]
},
{
"cell_type": "code",
"execution_count": 163,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"(15.115513, 70.65002323342219, True, 11506838)"
]
},
"execution_count": 163,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"np.log10(pcat[\"summed_mass\"][k]), pcat[\"dist\"][k], pcat[\"is_main\"][k], pcat[\"index\"][k]"
]
},
{
"cell_type": "code",
"execution_count": 235,
"metadata": {},
"outputs": [
{
"name": "stderr",
"output_type": "stream",
"text": [
"Clump 3947225 (193): : 24it [00:06, 3.58it/s] \n"
]
}
],
"source": [
"data = merger_reader.walk_main_progenitor(20520136, 951, True)"
]
},
{
"cell_type": "code",
"execution_count": 236,
"metadata": {},
"outputs": [
{
"name": "stderr",
"output_type": "stream",
"text": [
"Tracking halo: 100%|██████████| 651/651 [00:53<00:00, 12.16it/s]\n"
]
}
],
"source": [
"# pos, mass, x = csiborgtools.read.track_halo_manually(cats, 21344979, maxdist=5, max_dlogm=1)\n",
"hist = csiborgtools.read.track_halo_manually(cats, 20520136, maxdist=0.25, max_dlogm=0.5)"
]
},
{
"cell_type": "code",
"execution_count": 237,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"array([(951., 275.3906 , 323.64114, 375.0767 , 1.6992810e+15, nan),\n",
" (950., 275.3763 , 323.63602, 375.09222, 1.6949284e+15, 0.02171533),\n",
" (949., nan, nan, nan, nan, nan),\n",
" (948., nan, nan, nan, nan, nan),\n",
" (947., nan, nan, nan, nan, nan),\n",
" (946., 275.3962 , 323.66205, 375.0974 , 1.6972969e+15, 0.03317323),\n",
" (945., 275.38544, 323.63733, 375.10263, 1.6828855e+15, 0.02746497),\n",
" (944., nan, nan, nan, nan, nan),\n",
" (943., 275.3182 , 323.42926, 375.11832, 1.6848843e+15, 0.21922271),\n",
" (942., 275.40613, 323.66183, 375.09247, 1.6838351e+15, 0.24997829),\n",
" (941., nan, nan, nan, nan, nan),\n",
" (940., nan, nan, nan, nan, nan),\n",
" (939., 275.4062 , 323.67218, 375.10422, 1.6796080e+15, 0.01565495),\n",
" (938., 275.41653, 323.6784 , 375.1182 , 1.6778127e+15, 0.01847009),\n",
" (937., 275.41656, 323.6723 , 375.10312, 1.6801732e+15, 0.01626438),\n",
" (936., 275.41644, 323.6785 , 375.10773, 1.6768492e+15, 0.00772197),\n",
" (935., 275.40656, 323.68253, 375.10254, 1.6768536e+15, 0.01187051),\n",
" (934., 275.4118 , 323.68808, 375.11337, 1.6766885e+15, 0.01325788),\n",
" (933., 275.40176, 323.69287, 375.10986, 1.6758714e+15, 0.01166534),\n",
" (932., 275.4113 , 323.69806, 375.10876, 1.6753592e+15, 0.01089866),\n",
" (931., 275.40616, 323.688 , 375.11325, 1.6729041e+15, 0.0121586 ),\n",
" (930., nan, nan, nan, nan, nan),\n",
" (929., 275.40662, 323.70328, 375.10873, 1.6784032e+15, 0.01594905),\n",
" (928., 275.4029 , 323.70837, 375.114 , 1.6702815e+15, 0.00822855),\n",
" (927., nan, nan, nan, nan, nan),\n",
" (926., nan, nan, nan, nan, nan),\n",
" (925., 275.40613, 323.7088 , 375.11807, 1.6753509e+15, 0.00520779),\n",
" (924., nan, nan, nan, nan, nan),\n",
" (923., nan, nan, nan, nan, nan),\n",
" (922., nan, nan, nan, nan, nan),\n",
" (921., nan, nan, nan, nan, nan),\n",
" (920., nan, nan, nan, nan, nan),\n",
" (919., nan, nan, nan, nan, nan),\n",
" (918., nan, nan, nan, nan, nan),\n",
" (917., nan, nan, nan, nan, nan),\n",
" (916., 275.42737, 323.76526, 375.12524, 1.6692198e+15, 0.06074563),\n",
" (915., 275.42163, 323.76016, 375.12943, 1.6662816e+15, 0.00873901),\n",
" (914., 275.4565 , 323.9011 , 375.04697, 1.6648903e+15, 0.1669654 ),\n",
" (913., 275.44412, 323.87256, 375.06506, 1.6669104e+15, 0.03598888),\n",
" (912., 275.4463 , 323.85443, 375.0858 , 1.6663322e+15, 0.0276166 ),\n",
" (911., 275.4364 , 323.81332, 375.10388, 1.6690872e+15, 0.04598984),\n",
" (910., 275.44257, 323.79114, 375.12875, 1.6643460e+15, 0.03389455),\n",
" (909., 275.43716, 323.7563 , 375.1497 , 1.6618427e+15, 0.04101282),\n",
" (908., 275.44235, 323.72913, 375.16998, 1.6647001e+15, 0.03429971),\n",
" (907., 275.4527 , 323.6882 , 375.18622, 1.6636756e+15, 0.04522604),\n",
" (906., 275.4528 , 323.66183, 375.20285, 1.6558421e+15, 0.03117472),\n",
" (905., 275.46817, 323.636 , 375.22156, 1.6558695e+15, 0.03542135),\n",
" (904., 275.46817, 323.59464, 375.23706, 1.6531395e+15, 0.04416189),\n",
" (903., nan, nan, nan, nan, nan),\n",
" (902., nan, nan, nan, nan, nan),\n",
" (901., 275.49405, 323.5119 , 375.268 , 1.6528571e+15, 0.09204388),\n",
" (900., nan, nan, nan, nan, nan),\n",
" (899., nan, nan, nan, nan, nan),\n",
" (898., nan, nan, nan, nan, nan),\n",
" (897., nan, nan, nan, nan, nan),\n",
" (896., nan, nan, nan, nan, nan),\n",
" (895., nan, nan, nan, nan, nan),\n",
" (894., nan, nan, nan, nan, nan),\n",
" (893., 275.5768 , 323.3052 , 375.3415 , 1.6435312e+15, 0.23446347),\n",
" (892., nan, nan, nan, nan, nan),\n",
" (891., nan, nan, nan, nan, nan),\n",
" (890., nan, nan, nan, nan, nan),\n",
" (889., nan, nan, nan, nan, nan),\n",
" (888., nan, nan, nan, nan, nan),\n",
" (887., nan, nan, nan, nan, nan),\n",
" (886., nan, nan, nan, nan, nan),\n",
" (885., nan, nan, nan, nan, nan),\n",
" (884., nan, nan, nan, nan, nan),\n",
" (883., nan, nan, nan, nan, nan),\n",
" (882., nan, nan, nan, nan, nan),\n",
" (881., nan, nan, nan, nan, nan),\n",
" (880., nan, nan, nan, nan, nan),\n",
" (879., nan, nan, nan, nan, nan),\n",
" (878., nan, nan, nan, nan, nan),\n",
" (877., nan, nan, nan, nan, nan),\n",
" (876., nan, nan, nan, nan, nan),\n",
" (875., nan, nan, nan, nan, nan),\n",
" (874., nan, nan, nan, nan, nan),\n",
" (873., nan, nan, nan, nan, nan),\n",
" (872., nan, nan, nan, nan, nan),\n",
" (871., nan, nan, nan, nan, nan),\n",
" (870., nan, nan, nan, nan, nan),\n",
" (869., nan, nan, nan, nan, nan),\n",
" (868., nan, nan, nan, nan, nan),\n",
" (867., nan, nan, nan, nan, nan),\n",
" (866., nan, nan, nan, nan, nan),\n",
" (865., nan, nan, nan, nan, nan),\n",
" (864., nan, nan, nan, nan, nan),\n",
" (863., nan, nan, nan, nan, nan),\n",
" (862., nan, nan, nan, nan, nan),\n",
" (861., nan, nan, nan, nan, nan),\n",
" (860., nan, nan, nan, nan, nan),\n",
" (859., nan, nan, nan, nan, nan),\n",
" (858., nan, nan, nan, nan, nan),\n",
" (857., nan, nan, nan, nan, nan),\n",
" (856., nan, nan, nan, nan, nan),\n",
" (855., nan, nan, nan, nan, nan),\n",
" (854., nan, nan, nan, nan, nan),\n",
" (853., nan, nan, nan, nan, nan),\n",
" (852., nan, nan, nan, nan, nan),\n",
" (851., nan, nan, nan, nan, nan),\n",
" (850., nan, nan, nan, nan, nan),\n",
" (849., nan, nan, nan, nan, nan),\n",
" (848., nan, nan, nan, nan, nan),\n",
" (847., nan, nan, nan, nan, nan),\n",
" (846., nan, nan, nan, nan, nan),\n",
" (845., nan, nan, nan, nan, nan),\n",
" (844., nan, nan, nan, nan, nan),\n",
" (843., nan, nan, nan, nan, nan),\n",
" (842., nan, nan, nan, nan, nan),\n",
" (841., nan, nan, nan, nan, nan),\n",
" (840., nan, nan, nan, nan, nan),\n",
" (839., nan, nan, nan, nan, nan),\n",
" (838., nan, nan, nan, nan, nan),\n",
" (837., nan, nan, nan, nan, nan),\n",
" (836., nan, nan, nan, nan, nan),\n",
" (835., nan, nan, nan, nan, nan),\n",
" (834., nan, nan, nan, nan, nan),\n",
" (833., nan, nan, nan, nan, nan),\n",
" (832., nan, nan, nan, nan, nan),\n",
" (831., nan, nan, nan, nan, nan),\n",
" (830., nan, nan, nan, nan, nan),\n",
" (829., nan, nan, nan, nan, nan),\n",
" (828., nan, nan, nan, nan, nan),\n",
" (827., nan, nan, nan, nan, nan),\n",
" (826., nan, nan, nan, nan, nan),\n",
" (825., nan, nan, nan, nan, nan),\n",
" (824., nan, nan, nan, nan, nan),\n",
" (823., nan, nan, nan, nan, nan),\n",
" (822., nan, nan, nan, nan, nan),\n",
" (821., nan, nan, nan, nan, nan),\n",
" (820., nan, nan, nan, nan, nan),\n",
" (819., nan, nan, nan, nan, nan),\n",
" (818., nan, nan, nan, nan, nan),\n",
" (817., nan, nan, nan, nan, nan),\n",
" (816., nan, nan, nan, nan, nan),\n",
" (815., nan, nan, nan, nan, nan),\n",
" (814., nan, nan, nan, nan, nan),\n",
" (813., nan, nan, nan, nan, nan),\n",
" (812., nan, nan, nan, nan, nan),\n",
" (811., nan, nan, nan, nan, nan),\n",
" (810., nan, nan, nan, nan, nan),\n",
" (809., nan, nan, nan, nan, nan),\n",
" (808., nan, nan, nan, nan, nan),\n",
" (807., nan, nan, nan, nan, nan),\n",
" (806., nan, nan, nan, nan, nan),\n",
" (805., nan, nan, nan, nan, nan),\n",
" (804., nan, nan, nan, nan, nan),\n",
" (803., nan, nan, nan, nan, nan),\n",
" (802., nan, nan, nan, nan, nan),\n",
" (801., nan, nan, nan, nan, nan),\n",
" (800., nan, nan, nan, nan, nan),\n",
" (799., nan, nan, nan, nan, nan),\n",
" (798., nan, nan, nan, nan, nan),\n",
" (797., nan, nan, nan, nan, nan),\n",
" (796., nan, nan, nan, nan, nan),\n",
" (795., nan, nan, nan, nan, nan),\n",
" (794., nan, nan, nan, nan, nan),\n",
" (793., nan, nan, nan, nan, nan),\n",
" (792., nan, nan, nan, nan, nan),\n",
" (791., nan, nan, nan, nan, nan),\n",
" (790., nan, nan, nan, nan, nan),\n",
" (789., nan, nan, nan, nan, nan),\n",
" (788., nan, nan, nan, nan, nan),\n",
" (787., nan, nan, nan, nan, nan),\n",
" (786., nan, nan, nan, nan, nan),\n",
" (785., nan, nan, nan, nan, nan),\n",
" (784., nan, nan, nan, nan, nan),\n",
" (783., nan, nan, nan, nan, nan),\n",
" (782., nan, nan, nan, nan, nan),\n",
" (781., nan, nan, nan, nan, nan),\n",
" (780., nan, nan, nan, nan, nan),\n",
" (779., nan, nan, nan, nan, nan),\n",
" (778., nan, nan, nan, nan, nan),\n",
" (777., nan, nan, nan, nan, nan),\n",
" (776., nan, nan, nan, nan, nan),\n",
" (775., nan, nan, nan, nan, nan),\n",
" (774., nan, nan, nan, nan, nan),\n",
" (773., nan, nan, nan, nan, nan),\n",
" (772., nan, nan, nan, nan, nan),\n",
" (771., nan, nan, nan, nan, nan),\n",
" (770., nan, nan, nan, nan, nan),\n",
" (769., nan, nan, nan, nan, nan),\n",
" (768., nan, nan, nan, nan, nan),\n",
" (767., nan, nan, nan, nan, nan),\n",
" (766., nan, nan, nan, nan, nan),\n",
" (765., nan, nan, nan, nan, nan),\n",
" (764., nan, nan, nan, nan, nan),\n",
" (763., nan, nan, nan, nan, nan),\n",
" (762., nan, nan, nan, nan, nan),\n",
" (761., nan, nan, nan, nan, nan),\n",
" (760., nan, nan, nan, nan, nan),\n",
" (759., nan, nan, nan, nan, nan),\n",
" (758., nan, nan, nan, nan, nan),\n",
" (757., nan, nan, nan, nan, nan),\n",
" (756., nan, nan, nan, nan, nan),\n",
" (755., nan, nan, nan, nan, nan),\n",
" (754., nan, nan, nan, nan, nan),\n",
" (753., nan, nan, nan, nan, nan),\n",
" (752., nan, nan, nan, nan, nan),\n",
" (751., nan, nan, nan, nan, nan),\n",
" (750., nan, nan, nan, nan, nan),\n",
" (749., nan, nan, nan, nan, nan),\n",
" (748., nan, nan, nan, nan, nan),\n",
" (747., nan, nan, nan, nan, nan),\n",
" (746., nan, nan, nan, nan, nan),\n",
" (745., nan, nan, nan, nan, nan),\n",
" (744., nan, nan, nan, nan, nan),\n",
" (743., nan, nan, nan, nan, nan),\n",
" (742., nan, nan, nan, nan, nan),\n",
" (741., nan, nan, nan, nan, nan),\n",
" (740., nan, nan, nan, nan, nan),\n",
" (739., nan, nan, nan, nan, nan),\n",
" (738., nan, nan, nan, nan, nan),\n",
" (737., nan, nan, nan, nan, nan),\n",
" (736., nan, nan, nan, nan, nan),\n",
" (735., nan, nan, nan, nan, nan),\n",
" (734., nan, nan, nan, nan, nan),\n",
" (733., nan, nan, nan, nan, nan),\n",
" (732., nan, nan, nan, nan, nan),\n",
" (731., nan, nan, nan, nan, nan),\n",
" (730., nan, nan, nan, nan, nan),\n",
" (729., nan, nan, nan, nan, nan),\n",
" (728., nan, nan, nan, nan, nan),\n",
" (727., nan, nan, nan, nan, nan),\n",
" (726., nan, nan, nan, nan, nan),\n",
" (725., nan, nan, nan, nan, nan),\n",
" (724., nan, nan, nan, nan, nan),\n",
" (723., nan, nan, nan, nan, nan),\n",
" (722., nan, nan, nan, nan, nan),\n",
" (721., nan, nan, nan, nan, nan),\n",
" (720., nan, nan, nan, nan, nan),\n",
" (719., nan, nan, nan, nan, nan),\n",
" (718., nan, nan, nan, nan, nan),\n",
" (717., nan, nan, nan, nan, nan),\n",
" (716., nan, nan, nan, nan, nan),\n",
" (715., nan, nan, nan, nan, nan),\n",
" (714., nan, nan, nan, nan, nan),\n",
" (713., nan, nan, nan, nan, nan),\n",
" (712., nan, nan, nan, nan, nan),\n",
" (711., nan, nan, nan, nan, nan),\n",
" (710., nan, nan, nan, nan, nan),\n",
" (709., nan, nan, nan, nan, nan),\n",
" (708., nan, nan, nan, nan, nan),\n",
" (707., nan, nan, nan, nan, nan),\n",
" (706., nan, nan, nan, nan, nan),\n",
" (705., nan, nan, nan, nan, nan),\n",
" (704., nan, nan, nan, nan, nan),\n",
" (703., nan, nan, nan, nan, nan),\n",
" (702., nan, nan, nan, nan, nan),\n",
" (701., nan, nan, nan, nan, nan),\n",
" (700., nan, nan, nan, nan, nan),\n",
" (699., nan, nan, nan, nan, nan),\n",
" (698., nan, nan, nan, nan, nan),\n",
" (697., nan, nan, nan, nan, nan),\n",
" (696., nan, nan, nan, nan, nan),\n",
" (695., nan, nan, nan, nan, nan),\n",
" (694., nan, nan, nan, nan, nan),\n",
" (693., nan, nan, nan, nan, nan),\n",
" (692., nan, nan, nan, nan, nan),\n",
" (691., nan, nan, nan, nan, nan),\n",
" (690., nan, nan, nan, nan, nan),\n",
" (689., nan, nan, nan, nan, nan),\n",
" (688., nan, nan, nan, nan, nan),\n",
" (687., nan, nan, nan, nan, nan),\n",
" (686., nan, nan, nan, nan, nan),\n",
" (685., nan, nan, nan, nan, nan),\n",
" (684., nan, nan, nan, nan, nan),\n",
" (683., nan, nan, nan, nan, nan),\n",
" (682., nan, nan, nan, nan, nan),\n",
" (681., nan, nan, nan, nan, nan),\n",
" (680., nan, nan, nan, nan, nan),\n",
" (679., nan, nan, nan, nan, nan),\n",
" (678., nan, nan, nan, nan, nan),\n",
" (677., nan, nan, nan, nan, nan),\n",
" (676., nan, nan, nan, nan, nan),\n",
" (675., nan, nan, nan, nan, nan),\n",
" (674., nan, nan, nan, nan, nan),\n",
" (673., nan, nan, nan, nan, nan),\n",
" (672., nan, nan, nan, nan, nan),\n",
" (671., nan, nan, nan, nan, nan),\n",
" (670., nan, nan, nan, nan, nan),\n",
" (669., nan, nan, nan, nan, nan),\n",
" (668., nan, nan, nan, nan, nan),\n",
" (667., nan, nan, nan, nan, nan),\n",
" (666., nan, nan, nan, nan, nan),\n",
" (665., nan, nan, nan, nan, nan),\n",
" (664., nan, nan, nan, nan, nan),\n",
" (663., nan, nan, nan, nan, nan),\n",
" (662., nan, nan, nan, nan, nan),\n",
" (661., nan, nan, nan, nan, nan),\n",
" (660., nan, nan, nan, nan, nan),\n",
" (659., nan, nan, nan, nan, nan),\n",
" (658., nan, nan, nan, nan, nan),\n",
" (657., nan, nan, nan, nan, nan),\n",
" (656., nan, nan, nan, nan, nan),\n",
" (655., nan, nan, nan, nan, nan),\n",
" (654., nan, nan, nan, nan, nan),\n",
" (653., nan, nan, nan, nan, nan),\n",
" (652., nan, nan, nan, nan, nan),\n",
" (651., nan, nan, nan, nan, nan),\n",
" (650., nan, nan, nan, nan, nan),\n",
" (649., nan, nan, nan, nan, nan),\n",
" (648., nan, nan, nan, nan, nan),\n",
" (647., nan, nan, nan, nan, nan),\n",
" (646., nan, nan, nan, nan, nan),\n",
" (645., nan, nan, nan, nan, nan),\n",
" (644., nan, nan, nan, nan, nan),\n",
" (643., nan, nan, nan, nan, nan),\n",
" (642., nan, nan, nan, nan, nan),\n",
" (641., nan, nan, nan, nan, nan),\n",
" (640., nan, nan, nan, nan, nan),\n",
" (639., nan, nan, nan, nan, nan),\n",
" (638., nan, nan, nan, nan, nan),\n",
" (637., nan, nan, nan, nan, nan),\n",
" (636., nan, nan, nan, nan, nan),\n",
" (635., nan, nan, nan, nan, nan),\n",
" (634., nan, nan, nan, nan, nan),\n",
" (633., nan, nan, nan, nan, nan),\n",
" (632., nan, nan, nan, nan, nan),\n",
" (631., nan, nan, nan, nan, nan),\n",
" (630., nan, nan, nan, nan, nan),\n",
" (629., nan, nan, nan, nan, nan),\n",
" (628., nan, nan, nan, nan, nan),\n",
" (627., nan, nan, nan, nan, nan),\n",
" (626., nan, nan, nan, nan, nan),\n",
" (625., nan, nan, nan, nan, nan),\n",
" (624., nan, nan, nan, nan, nan),\n",
" (623., nan, nan, nan, nan, nan),\n",
" (622., nan, nan, nan, nan, nan),\n",
" (621., nan, nan, nan, nan, nan),\n",
" (620., nan, nan, nan, nan, nan),\n",
" (619., nan, nan, nan, nan, nan),\n",
" (618., nan, nan, nan, nan, nan),\n",
" (617., nan, nan, nan, nan, nan),\n",
" (616., nan, nan, nan, nan, nan),\n",
" (615., nan, nan, nan, nan, nan),\n",
" (614., nan, nan, nan, nan, nan),\n",
" (613., nan, nan, nan, nan, nan),\n",
" (612., nan, nan, nan, nan, nan),\n",
" (611., nan, nan, nan, nan, nan),\n",
" (610., nan, nan, nan, nan, nan),\n",
" (609., nan, nan, nan, nan, nan),\n",
" (608., nan, nan, nan, nan, nan),\n",
" (607., nan, nan, nan, nan, nan),\n",
" (606., nan, nan, nan, nan, nan),\n",
" (605., nan, nan, nan, nan, nan),\n",
" (604., nan, nan, nan, nan, nan),\n",
" (603., nan, nan, nan, nan, nan),\n",
" (602., nan, nan, nan, nan, nan),\n",
" (601., nan, nan, nan, nan, nan),\n",
" (600., nan, nan, nan, nan, nan),\n",
" (599., nan, nan, nan, nan, nan),\n",
" (598., nan, nan, nan, nan, nan),\n",
" (597., nan, nan, nan, nan, nan),\n",
" (596., nan, nan, nan, nan, nan),\n",
" (595., nan, nan, nan, nan, nan),\n",
" (594., nan, nan, nan, nan, nan),\n",
" (593., nan, nan, nan, nan, nan),\n",
" (592., nan, nan, nan, nan, nan),\n",
" (591., nan, nan, nan, nan, nan),\n",
" (590., nan, nan, nan, nan, nan),\n",
" (589., nan, nan, nan, nan, nan),\n",
" (588., nan, nan, nan, nan, nan),\n",
" (587., nan, nan, nan, nan, nan),\n",
" (586., nan, nan, nan, nan, nan),\n",
" (585., nan, nan, nan, nan, nan),\n",
" (584., nan, nan, nan, nan, nan),\n",
" (583., nan, nan, nan, nan, nan),\n",
" (582., nan, nan, nan, nan, nan),\n",
" (581., nan, nan, nan, nan, nan),\n",
" (580., nan, nan, nan, nan, nan),\n",
" (579., nan, nan, nan, nan, nan),\n",
" (578., nan, nan, nan, nan, nan),\n",
" (577., nan, nan, nan, nan, nan),\n",
" (576., nan, nan, nan, nan, nan),\n",
" (575., nan, nan, nan, nan, nan),\n",
" (574., nan, nan, nan, nan, nan),\n",
" (573., nan, nan, nan, nan, nan),\n",
" (572., nan, nan, nan, nan, nan),\n",
" (571., nan, nan, nan, nan, nan),\n",
" (570., nan, nan, nan, nan, nan),\n",
" (569., nan, nan, nan, nan, nan),\n",
" (568., nan, nan, nan, nan, nan),\n",
" (567., nan, nan, nan, nan, nan),\n",
" (566., nan, nan, nan, nan, nan),\n",
" (565., nan, nan, nan, nan, nan),\n",
" (564., nan, nan, nan, nan, nan),\n",
" (563., nan, nan, nan, nan, nan),\n",
" (562., nan, nan, nan, nan, nan),\n",
" (561., nan, nan, nan, nan, nan),\n",
" (560., nan, nan, nan, nan, nan),\n",
" (559., nan, nan, nan, nan, nan),\n",
" (558., nan, nan, nan, nan, nan),\n",
" (557., nan, nan, nan, nan, nan),\n",
" (556., nan, nan, nan, nan, nan),\n",
" (555., nan, nan, nan, nan, nan),\n",
" (554., nan, nan, nan, nan, nan),\n",
" (553., nan, nan, nan, nan, nan),\n",
" (552., nan, nan, nan, nan, nan),\n",
" (551., nan, nan, nan, nan, nan),\n",
" (550., nan, nan, nan, nan, nan),\n",
" (549., nan, nan, nan, nan, nan),\n",
" (548., nan, nan, nan, nan, nan),\n",
" (547., nan, nan, nan, nan, nan),\n",
" (546., nan, nan, nan, nan, nan),\n",
" (545., nan, nan, nan, nan, nan),\n",
" (544., nan, nan, nan, nan, nan),\n",
" (543., nan, nan, nan, nan, nan),\n",
" (542., nan, nan, nan, nan, nan),\n",
" (541., nan, nan, nan, nan, nan),\n",
" (540., nan, nan, nan, nan, nan),\n",
" (539., nan, nan, nan, nan, nan),\n",
" (538., nan, nan, nan, nan, nan),\n",
" (537., nan, nan, nan, nan, nan),\n",
" (536., nan, nan, nan, nan, nan),\n",
" (535., nan, nan, nan, nan, nan),\n",
" (534., nan, nan, nan, nan, nan),\n",
" (533., nan, nan, nan, nan, nan),\n",
" (532., nan, nan, nan, nan, nan),\n",
" (531., nan, nan, nan, nan, nan),\n",
" (530., nan, nan, nan, nan, nan),\n",
" (529., nan, nan, nan, nan, nan),\n",
" (528., nan, nan, nan, nan, nan),\n",
" (527., nan, nan, nan, nan, nan),\n",
" (526., nan, nan, nan, nan, nan),\n",
" (525., nan, nan, nan, nan, nan),\n",
" (524., nan, nan, nan, nan, nan),\n",
" (523., nan, nan, nan, nan, nan),\n",
" (522., nan, nan, nan, nan, nan),\n",
" (521., nan, nan, nan, nan, nan),\n",
" (520., nan, nan, nan, nan, nan),\n",
" (519., nan, nan, nan, nan, nan),\n",
" (518., nan, nan, nan, nan, nan),\n",
" (517., nan, nan, nan, nan, nan),\n",
" (516., nan, nan, nan, nan, nan),\n",
" (515., nan, nan, nan, nan, nan),\n",
" (514., nan, nan, nan, nan, nan),\n",
" (513., nan, nan, nan, nan, nan),\n",
" (512., nan, nan, nan, nan, nan),\n",
" (511., nan, nan, nan, nan, nan),\n",
" (510., nan, nan, nan, nan, nan),\n",
" (509., nan, nan, nan, nan, nan),\n",
" (508., nan, nan, nan, nan, nan),\n",
" (507., nan, nan, nan, nan, nan),\n",
" (506., nan, nan, nan, nan, nan),\n",
" (505., nan, nan, nan, nan, nan),\n",
" (504., nan, nan, nan, nan, nan),\n",
" (503., nan, nan, nan, nan, nan),\n",
" (502., nan, nan, nan, nan, nan),\n",
" (501., nan, nan, nan, nan, nan),\n",
" (500., nan, nan, nan, nan, nan),\n",
" (499., nan, nan, nan, nan, nan),\n",
" (498., nan, nan, nan, nan, nan),\n",
" (497., nan, nan, nan, nan, nan),\n",
" (496., nan, nan, nan, nan, nan),\n",
" (495., nan, nan, nan, nan, nan),\n",
" (494., nan, nan, nan, nan, nan),\n",
" (493., nan, nan, nan, nan, nan),\n",
" (492., nan, nan, nan, nan, nan),\n",
" (491., nan, nan, nan, nan, nan),\n",
" (490., nan, nan, nan, nan, nan),\n",
" (489., nan, nan, nan, nan, nan),\n",
" (488., nan, nan, nan, nan, nan),\n",
" (487., nan, nan, nan, nan, nan),\n",
" (486., nan, nan, nan, nan, nan),\n",
" (485., nan, nan, nan, nan, nan),\n",
" (484., nan, nan, nan, nan, nan),\n",
" (483., nan, nan, nan, nan, nan),\n",
" (482., nan, nan, nan, nan, nan),\n",
" (481., nan, nan, nan, nan, nan),\n",
" (480., nan, nan, nan, nan, nan),\n",
" (479., nan, nan, nan, nan, nan),\n",
" (478., nan, nan, nan, nan, nan),\n",
" (477., nan, nan, nan, nan, nan),\n",
" (476., nan, nan, nan, nan, nan),\n",
" (475., nan, nan, nan, nan, nan),\n",
" (474., nan, nan, nan, nan, nan),\n",
" (473., nan, nan, nan, nan, nan),\n",
" (472., nan, nan, nan, nan, nan),\n",
" (471., nan, nan, nan, nan, nan),\n",
" (470., nan, nan, nan, nan, nan),\n",
" (469., nan, nan, nan, nan, nan),\n",
" (468., nan, nan, nan, nan, nan),\n",
" (467., nan, nan, nan, nan, nan),\n",
" (466., nan, nan, nan, nan, nan),\n",
" (465., nan, nan, nan, nan, nan),\n",
" (464., nan, nan, nan, nan, nan),\n",
" (463., nan, nan, nan, nan, nan),\n",
" (462., nan, nan, nan, nan, nan),\n",
" (461., nan, nan, nan, nan, nan),\n",
" (460., nan, nan, nan, nan, nan),\n",
" (459., nan, nan, nan, nan, nan),\n",
" (458., nan, nan, nan, nan, nan),\n",
" (457., nan, nan, nan, nan, nan),\n",
" (456., nan, nan, nan, nan, nan),\n",
" (455., nan, nan, nan, nan, nan),\n",
" (454., nan, nan, nan, nan, nan),\n",
" (453., nan, nan, nan, nan, nan),\n",
" (452., nan, nan, nan, nan, nan),\n",
" (451., nan, nan, nan, nan, nan),\n",
" (450., nan, nan, nan, nan, nan),\n",
" (449., nan, nan, nan, nan, nan),\n",
" (448., nan, nan, nan, nan, nan),\n",
" (447., nan, nan, nan, nan, nan),\n",
" (446., nan, nan, nan, nan, nan),\n",
" (445., nan, nan, nan, nan, nan),\n",
" (444., nan, nan, nan, nan, nan),\n",
" (443., nan, nan, nan, nan, nan),\n",
" (442., nan, nan, nan, nan, nan),\n",
" (441., nan, nan, nan, nan, nan),\n",
" (440., nan, nan, nan, nan, nan),\n",
" (439., nan, nan, nan, nan, nan),\n",
" (438., nan, nan, nan, nan, nan),\n",
" (437., nan, nan, nan, nan, nan),\n",
" (436., nan, nan, nan, nan, nan),\n",
" (435., nan, nan, nan, nan, nan),\n",
" (434., nan, nan, nan, nan, nan),\n",
" (433., nan, nan, nan, nan, nan),\n",
" (432., nan, nan, nan, nan, nan),\n",
" (431., nan, nan, nan, nan, nan),\n",
" (430., nan, nan, nan, nan, nan),\n",
" (429., nan, nan, nan, nan, nan),\n",
" (428., nan, nan, nan, nan, nan),\n",
" (427., nan, nan, nan, nan, nan),\n",
" (426., nan, nan, nan, nan, nan),\n",
" (425., nan, nan, nan, nan, nan),\n",
" (424., nan, nan, nan, nan, nan),\n",
" (423., nan, nan, nan, nan, nan),\n",
" (422., nan, nan, nan, nan, nan),\n",
" (421., nan, nan, nan, nan, nan),\n",
" (420., nan, nan, nan, nan, nan),\n",
" (419., nan, nan, nan, nan, nan),\n",
" (418., nan, nan, nan, nan, nan),\n",
" (417., nan, nan, nan, nan, nan),\n",
" (416., nan, nan, nan, nan, nan),\n",
" (415., nan, nan, nan, nan, nan),\n",
" (414., nan, nan, nan, nan, nan),\n",
" (413., nan, nan, nan, nan, nan),\n",
" (412., nan, nan, nan, nan, nan),\n",
" (411., nan, nan, nan, nan, nan),\n",
" (410., nan, nan, nan, nan, nan),\n",
" (409., nan, nan, nan, nan, nan),\n",
" (408., nan, nan, nan, nan, nan),\n",
" (407., nan, nan, nan, nan, nan),\n",
" (406., nan, nan, nan, nan, nan),\n",
" (405., nan, nan, nan, nan, nan),\n",
" (404., nan, nan, nan, nan, nan),\n",
" (403., nan, nan, nan, nan, nan),\n",
" (402., nan, nan, nan, nan, nan),\n",
" (401., nan, nan, nan, nan, nan),\n",
" (400., nan, nan, nan, nan, nan),\n",
" (399., nan, nan, nan, nan, nan),\n",
" (398., nan, nan, nan, nan, nan),\n",
" (397., nan, nan, nan, nan, nan),\n",
" (396., nan, nan, nan, nan, nan),\n",
" (395., nan, nan, nan, nan, nan),\n",
" (394., nan, nan, nan, nan, nan),\n",
" (393., nan, nan, nan, nan, nan),\n",
" (392., nan, nan, nan, nan, nan),\n",
" (391., nan, nan, nan, nan, nan),\n",
" (390., nan, nan, nan, nan, nan),\n",
" (389., nan, nan, nan, nan, nan),\n",
" (388., nan, nan, nan, nan, nan),\n",
" (387., nan, nan, nan, nan, nan),\n",
" (386., nan, nan, nan, nan, nan),\n",
" (385., nan, nan, nan, nan, nan),\n",
" (384., nan, nan, nan, nan, nan),\n",
" (383., nan, nan, nan, nan, nan),\n",
" (382., nan, nan, nan, nan, nan),\n",
" (381., nan, nan, nan, nan, nan),\n",
" (380., nan, nan, nan, nan, nan),\n",
" (379., nan, nan, nan, nan, nan),\n",
" (378., nan, nan, nan, nan, nan),\n",
" (377., nan, nan, nan, nan, nan),\n",
" (376., nan, nan, nan, nan, nan),\n",
" (375., nan, nan, nan, nan, nan),\n",
" (374., nan, nan, nan, nan, nan),\n",
" (373., nan, nan, nan, nan, nan),\n",
" (372., nan, nan, nan, nan, nan),\n",
" (371., nan, nan, nan, nan, nan),\n",
" (370., nan, nan, nan, nan, nan),\n",
" (369., nan, nan, nan, nan, nan),\n",
" (368., nan, nan, nan, nan, nan),\n",
" (367., nan, nan, nan, nan, nan),\n",
" (366., nan, nan, nan, nan, nan),\n",
" (365., nan, nan, nan, nan, nan),\n",
" (364., nan, nan, nan, nan, nan),\n",
" (363., nan, nan, nan, nan, nan),\n",
" (362., nan, nan, nan, nan, nan),\n",
" (361., nan, nan, nan, nan, nan),\n",
" (360., nan, nan, nan, nan, nan),\n",
" (359., nan, nan, nan, nan, nan),\n",
" (358., nan, nan, nan, nan, nan),\n",
" (357., nan, nan, nan, nan, nan),\n",
" (356., nan, nan, nan, nan, nan),\n",
" (355., nan, nan, nan, nan, nan),\n",
" (354., nan, nan, nan, nan, nan),\n",
" (353., nan, nan, nan, nan, nan),\n",
" (352., nan, nan, nan, nan, nan),\n",
" (351., nan, nan, nan, nan, nan),\n",
" (350., nan, nan, nan, nan, nan),\n",
" (349., nan, nan, nan, nan, nan),\n",
" (348., nan, nan, nan, nan, nan),\n",
" (347., nan, nan, nan, nan, nan),\n",
" (346., nan, nan, nan, nan, nan),\n",
" (345., nan, nan, nan, nan, nan),\n",
" (344., nan, nan, nan, nan, nan),\n",
" (343., nan, nan, nan, nan, nan),\n",
" (342., nan, nan, nan, nan, nan),\n",
" (341., nan, nan, nan, nan, nan),\n",
" (340., nan, nan, nan, nan, nan),\n",
" (339., nan, nan, nan, nan, nan),\n",
" (338., nan, nan, nan, nan, nan),\n",
" (337., nan, nan, nan, nan, nan),\n",
" (336., nan, nan, nan, nan, nan),\n",
" (335., nan, nan, nan, nan, nan),\n",
" (334., nan, nan, nan, nan, nan),\n",
" (333., nan, nan, nan, nan, nan),\n",
" (332., nan, nan, nan, nan, nan),\n",
" (331., nan, nan, nan, nan, nan),\n",
" (330., nan, nan, nan, nan, nan),\n",
" (329., nan, nan, nan, nan, nan),\n",
" (328., nan, nan, nan, nan, nan),\n",
" (327., nan, nan, nan, nan, nan),\n",
" (326., nan, nan, nan, nan, nan),\n",
" (325., nan, nan, nan, nan, nan),\n",
" (324., nan, nan, nan, nan, nan),\n",
" (323., nan, nan, nan, nan, nan),\n",
" (322., nan, nan, nan, nan, nan),\n",
" (321., nan, nan, nan, nan, nan),\n",
" (320., nan, nan, nan, nan, nan),\n",
" (319., nan, nan, nan, nan, nan),\n",
" (318., nan, nan, nan, nan, nan),\n",
" (317., nan, nan, nan, nan, nan),\n",
" (316., nan, nan, nan, nan, nan),\n",
" (315., nan, nan, nan, nan, nan),\n",
" (314., nan, nan, nan, nan, nan),\n",
" (313., nan, nan, nan, nan, nan),\n",
" (312., nan, nan, nan, nan, nan),\n",
" (311., nan, nan, nan, nan, nan),\n",
" (310., nan, nan, nan, nan, nan),\n",
" (309., nan, nan, nan, nan, nan),\n",
" (308., nan, nan, nan, nan, nan),\n",
" (307., nan, nan, nan, nan, nan),\n",
" (306., nan, nan, nan, nan, nan),\n",
" (305., nan, nan, nan, nan, nan),\n",
" (304., nan, nan, nan, nan, nan),\n",
" (303., nan, nan, nan, nan, nan),\n",
" (302., nan, nan, nan, nan, nan),\n",
" (301., nan, nan, nan, nan, nan),\n",
" (300., nan, nan, nan, nan, nan)],\n",
" dtype=[('snapshot_index', '<f4'), ('x', '<f4'), ('y', '<f4'), ('z', '<f4'), ('mass', '<f4'), ('desc_dist', '<f4')])"
]
},
"execution_count": 237,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"hist"
]
},
{
"cell_type": "code",
"execution_count": 238,
"metadata": {},
"outputs": [
{
"data": {
"image/png": "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
"text/plain": [
"<Figure size 640x480 with 1 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
},
{
"data": {
"image/png": "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
"text/plain": [
"<Figure size 640x480 with 1 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"plt.figure()\n",
"plt.scatter(hist[\"x\"], hist[\"y\"], c=hist[\"snapshot_index\"])\n",
"plt.show()\n",
"\n",
"plt.figure()\n",
"plt.plot(hist[\"snapshot_index\"], hist[\"mass\"])\n",
"# m = data[\"desc_snapshot_index\"] > 0\n",
"# plt.plot(data[\"desc_snapshot_index\"][m], data[\"desc_mass\"][m])\n",
"plt.yscale(\"log\")\n",
"plt.show()"
]
},
{
"cell_type": "code",
"execution_count": 222,
"metadata": {},
"outputs": [
{
"data": {
"image/png": "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
"text/plain": [
"<Figure size 640x480 with 2 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"plt.figure()\n",
"plt.scatter(pos[:, 0], pos[:, 1], c=np.log10(mass))\n",
"plt.colorbar()\n",
"\n",
"plt.scatter(x[0], x[1], c=\"r\", marker='x')\n",
"\n",
"plt.show()"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 47,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"array([(951., 284.35236, 246.34824, 370.83707, 2.8412564e+15, nan),\n",
" (950., nan, nan, nan, nan, nan),\n",
" (949., nan, nan, nan, nan, nan),\n",
" (948., nan, nan, nan, nan, nan),\n",
" (947., nan, nan, nan, nan, nan),\n",
" (946., nan, nan, nan, nan, nan),\n",
" (945., nan, nan, nan, nan, nan),\n",
" (944., nan, nan, nan, nan, nan),\n",
" (943., nan, nan, nan, nan, nan),\n",
" (942., nan, nan, nan, nan, nan),\n",
" (941., nan, nan, nan, nan, nan),\n",
" (940., nan, nan, nan, nan, nan),\n",
" (939., nan, nan, nan, nan, nan),\n",
" (938., nan, nan, nan, nan, nan),\n",
" (937., nan, nan, nan, nan, nan),\n",
" (936., nan, nan, nan, nan, nan),\n",
" (935., nan, nan, nan, nan, nan),\n",
" (934., nan, nan, nan, nan, nan),\n",
" (933., 284.46155, 246.00227, 370.8075 , 2.8477622e+15, 0.36398822),\n",
" (932., 284.4702 , 245.98221, 370.80765, 2.8483010e+15, 0.02185764),\n",
" (931., nan, nan, nan, nan, nan),\n",
" (930., nan, nan, nan, nan, nan),\n",
" (929., nan, nan, nan, nan, nan),\n",
" (928., nan, nan, nan, nan, nan),\n",
" (927., 284.5113 , 245.87349, 370.80716, 2.8455723e+15, 0.11622101),\n",
" (926., 284.5216 , 245.85237, 370.81116, 2.8424150e+15, 0.02384024),\n",
" (925., nan, nan, nan, nan, nan),\n",
" (924., 284.53732, 245.8114 , 370.8111 , 2.8395653e+15, 0.043881 ),\n",
" (923., nan, nan, nan, nan, nan),\n",
" (922., nan, nan, nan, nan, nan),\n",
" (921., nan, nan, nan, nan, nan),\n",
" (920., nan, nan, nan, nan, nan),\n",
" (919., nan, nan, nan, nan, nan),\n",
" (918., nan, nan, nan, nan, nan),\n",
" (917., nan, nan, nan, nan, nan),\n",
" (916., nan, nan, nan, nan, nan),\n",
" (915., nan, nan, nan, nan, nan),\n",
" (914., nan, nan, nan, nan, nan),\n",
" (913., nan, nan, nan, nan, nan),\n",
" (912., nan, nan, nan, nan, nan),\n",
" (911., nan, nan, nan, nan, nan),\n",
" (910., nan, nan, nan, nan, nan),\n",
" (909., nan, nan, nan, nan, nan),\n",
" (908., nan, nan, nan, nan, nan),\n",
" (907., nan, nan, nan, nan, nan),\n",
" (906., nan, nan, nan, nan, nan),\n",
" (905., nan, nan, nan, nan, nan),\n",
" (904., nan, nan, nan, nan, nan),\n",
" (903., nan, nan, nan, nan, nan),\n",
" (902., nan, nan, nan, nan, nan),\n",
" (901., nan, nan, nan, nan, nan),\n",
" (900., nan, nan, nan, nan, nan)],\n",
" dtype=[('snapshot_index', '<f4'), ('x', '<f4'), ('y', '<f4'), ('z', '<f4'), ('mass', '<f4'), ('desc_dist', '<f4')])"
]
},
"execution_count": 47,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"hist"
]
},
{
"cell_type": "code",
"execution_count": 27,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"array([(951., 2.8871637e+15, 284.3524 , 246.34824, 370.83704, 950., 2.8882882e+15, 2.8788946e+15, 9.3937321e+12, 0.00093918),\n",
" (950., 2.8788946e+15, 286.42444, 244.69951, 373.20593, 949., 2.8756223e+15, 2.8672700e+15, 8.3523876e+12, 0.00110787),\n",
" (949., 2.8672700e+15, 286.40372, 244.72537, 373.17398, 948., 3.8162037e+12, 1.4894010e+12, 2.3268027e+12, 0.81327796),\n",
" (948., 1.4894010e+12, 286.77917, 242.59756, 372.9749 , 947., nan, nan, nan, nan)],\n",
" dtype=[('desc_snapshot_index', '<f4'), ('desc_mass', '<f4'), ('desc_x', '<f4'), ('desc_y', '<f4'), ('desc_z', '<f4'), ('prog_snapshot_index', '<f4'), ('prog_totmass', '<f4'), ('mainprog_mass', '<f4'), ('minprog_totmass', '<f4'), ('merger_ratio', '<f4')])"
]
},
"execution_count": 27,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"data"
]
},
{
"cell_type": "code",
"execution_count": 28,
"metadata": {},
"outputs": [],
"source": [
"mladen = np.genfromtxt(\"/mnt/extraspace/rstiskalek/CSiBORG/cleaned_mtree/ramses_out_7444/mergertree_00951_halo-21344979.txt\", skip_header=1) "
]
},
{
"cell_type": "code",
"execution_count": 31,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"array([[ 9.5100000e+02, -6.0000000e-04, 2.1344979e+07, 4.0949790e+15,\n",
" 1.3323500e+13, 0.0000000e+00],\n",
" [ 9.5000000e+02, 7.0000000e-04, 2.1390351e+07, 4.0832510e+15,\n",
" 7.5558290e+12, 4.2906940e+12],\n",
" [ 9.4900000e+02, 2.1000000e-03, 2.1382973e+07, 4.0667630e+15,\n",
" 2.1738350e+12, 1.1263620e+12],\n",
" [ 9.4800000e+02, 3.5000000e-03, 2.1405046e+07, 2.1124770e+12,\n",
" 0.0000000e+00, 0.0000000e+00]])"
]
},
"execution_count": 31,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"mladen"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 18,
"metadata": {},
"outputs": [
{
"data": {
"image/png": "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
"text/plain": [
"<Figure size 640x480 with 1 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"plt.figure()\n",
"plt.plot(data[\"desc_snapshot_index\"], data[\"desc_mass\"])\n",
"m = mladen[:, 0] > 700\n",
"plt.plot(mladen[:,0][m], (mladen[:,3][m] - mladen[:, 5][m]) * 0.7)\n",
"\n",
"plt.yscale(\"log\")\n",
"plt.show()"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 298,
"metadata": {},
"outputs": [],
"source": [
"snaps = paths.get_snapshots(7468, \"csiborg\")"
]
},
{
"cell_type": "code",
"execution_count": 299,
"metadata": {},
"outputs": [
{
"name": "stderr",
"output_type": "stream",
"text": [
"100%|██████████| 145/145 [01:46<00:00, 1.36it/s]\n"
]
}
],
"source": [
"nsnaps = range(800, 944 + 1)\n",
"from tqdm import tqdm\n",
"cats = {}\n",
"for nsnap in tqdm(nsnaps):\n",
" cats[nsnap] = csiborgtools.read.CSiBORGPHEWCatalogue(\n",
" nsnap, 7468, paths, bounds={\"dist\": (None, 155.5), \"is_main\": True})"
]
},
{
"cell_type": "code",
"execution_count": 230,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"15.50197501793565"
]
},
"execution_count": 230,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"cats[944][\"dist\"][cats[944][\"hid_to_array_index\"][20467619]]"
]
},
{
"cell_type": "code",
"execution_count": 454,
"metadata": {},
"outputs": [],
"source": [
"# hid = cats[944][\"index\"][np.argmax(cats[944][\"summed_mass\"])]\n",
"hid = 20467619"
]
},
{
"cell_type": "code",
"execution_count": 455,
"metadata": {},
"outputs": [
{
"name": "stderr",
"output_type": "stream",
"text": [
"100%|██████████| 144/144 [00:00<00:00, 1348.89it/s]\n"
]
}
],
"source": [
"hist = csiborgtools.read.track_halo_manually(cats, hid)\n",
"# x, y = csiborgtools.read.track_halo_manually(cats, hid)"
]
},
{
"cell_type": "code",
"execution_count": 456,
"metadata": {},
"outputs": [
{
"data": {
"image/png": "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
"text/plain": [
"<Figure size 640x480 with 2 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"plt.figure()\n",
"plt.scatter(hist[\"snapshot_index\"], hist[\"mass\"] / hist[\"mass\"][0], c=hist[\"desc_dist\"])\n",
"plt.colorbar()\n",
"# plt.yscale(\"log\")\n",
"plt.show()"
]
},
{
"cell_type": "code",
"execution_count": 457,
"metadata": {},
"outputs": [
{
"data": {
"image/png": "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
"text/plain": [
"<Figure size 640x480 with 2 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"plt.figure()\n",
"plt.scatter(hist[\"x\"], hist[\"y\"], c=hist[\"snapshot_index\"])\n",
"plt.colorbar()\n",
"plt.show()"
]
},
{
"cell_type": "code",
"execution_count": 458,
"metadata": {},
"outputs": [
{
"data": {
"image/png": "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
"text/plain": [
"<Figure size 640x480 with 1 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"plt.figure()\n",
"plt.scatter(hist[\"snapshot_index\"], hist[\"desc_dist\"])\n",
"\n",
"plt.show()"
]
},
{
"cell_type": "code",
"execution_count": 459,
"metadata": {},
"outputs": [],
"source": [
"x = np.arange(len(pos_history))"
]
},
{
"cell_type": "code",
"execution_count": 293,
"metadata": {},
"outputs": [
{
"data": {
"image/png": "iVBORw0KGgoAAAANSUhEUgAAAj0AAAGdCAYAAAD5ZcJyAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMiwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy8pXeV/AAAACXBIWXMAAA9hAAAPYQGoP6dpAAB9oUlEQVR4nO29e3yU5Zn//3nmnPORJBzCQaECcjRIDKXVapbgl12brVKlVZCl2m8LrTXfdS3+EKh0F6vFqpVvqa1Y+62sLC5StBaNeNqWCBKIAgKCAgFCziSTTJI5Pr8/Zu57ZsJMMufnfmau9+s1L3HmnmfuyRyea67rc30uSZZlGQRBEARBEEmORukNEARBEARBJAIKegiCIAiCSAko6CEIgiAIIiWgoIcgCIIgiJSAgh6CIAiCIFICCnoIgiAIgkgJKOghCIIgCCIloKCHIAiCIIiUQKf0BkTC5XKhqakJWVlZkCRJ6e0QBEEQBBECsiyjp6cHo0aNgkYTPJ9DQY8PTU1NKC0tVXobBEEQBEFEwPnz5zFmzJigt1PQ40NWVhYA9x8tOztb4d0QBEEQBBEKZrMZpaWl/DweDAp6fGAlrezsbAp6CIIgCEJlDCdNISEzQRAEQRApAQU9BEEQBEGkBBT0EARBEASRElDQQxAEQRBESkBBD0EQBEEQKQEFPQRBEARBpAQU9BAEQRAEkRJQ0EMQBEEQREpAQQ9BEARBECkBBT0EQRAEQaQEFPQQBEEQBJESUNBDEARBEERKQANHCYIgCIKIO39uuIiG8124eXIRvjZphCJ7oEwPQRAEQRBx52+n2vHi38/iyMVuxfZAQQ9BEARBEHGnz+4EAKTptYrtgYIegiAIgiDizoDNHfSkGyjoIQiCIAgiienzBD0myvQQBEEQBJHM9NtZpke5HioKegiCIAiCiDv9NtL0EARBEASRArBMT5qCmh7y6SEIAO981oLjl8z4xuQiTBudo/R2CIIgko4+ATI9FPQQBIBX6y9gz7Fm5KTrKeghCIKIAwN26t4iCCHIzzQAADp6bQrvhCAIIvmQZRl9NgcAZctbFPQQBICCDHfQc7mPgh6CIIhYY3O64JLd/6aghyAUJt8T9HRYKOghCIKINQM2F/83dW8RhMKwoKeTylsEQRAxp8/uLm3ptRL0WuVCDwp6CAJAQYYRANBJmR6CIIiY0y+AGzNAQQ9BAADyMvQAqLxFEAQRD/oEmLsFUNBDEAC8mZ7LfTa4mNqOIAiCiAkDAkxYByjoIQgA3kyP0yXDPGBXeDcEQRDJBTcmVHDuFkBBD0EAAIw6LbKM7g8j6XoIgiBiCx9BoVc27KCghyA8MINCCnoIgiBiCx82SpoeghAD8uohCIKID95MjwrLW5s3b8b48eNhMplQXl6OAwcODLl+x44dmDx5MkwmE6ZPn44333yT32a32/Hwww9j+vTpyMjIwKhRo7B06VI0NTXxNWfPnsWKFSswYcIEpKWl4eqrr8a6detgs9n81kiSdMXlo48+iuQpEikIc2WmTA9BEERs6VNrpmf79u2oqanBunXrcOjQIcycORNVVVVobW0NuH7fvn1YsmQJVqxYgcOHD6O6uhrV1dU4evQoAKCvrw+HDh3Co48+ikOHDmHnzp04efIkbrvtNn6MEydOwOVy4be//S2OHTuGX/3qV9iyZQseeeSRKx7vnXfewaVLl/ilrKws3KdIpCh56RT0EARBxAM+bFTh7i1JluWw+nPLy8tx/fXX47nnngMAuFwulJaW4kc/+hF++tOfXrH+zjvvhMViwRtvvMGvu+GGGzBr1ixs2bIl4GN8/PHHmDt3Ls6dO4exY8cGXPPkk0/iN7/5Db788ksA7kzPhAkTcPjwYcyaNSucp8Qxm83IyclBd3c3srOzIzoGoV42/vU4fvvBl/iXr07A2n+aqvR2CIIgkoYn3zqBze99gXvnjcf6266N+fFDPX+Hlemx2Wyor69HZWWl9wAaDSorK1FXVxfwPnV1dX7rAaCqqiroegDo7u6GJEnIzc0dck1+fv4V1992220oKirC/PnzsXv37iGfj9Vqhdls9rsQqYu3vGVVeCcEQRDJRb9n9paqylvt7e1wOp0oLi72u764uBjNzc0B79Pc3BzW+oGBATz88MNYsmRJ0Gjt9OnT+PWvf43vf//7/LrMzExs2rQJO3bswF/+8hfMnz8f1dXVQwY+GzduRE5ODr+UlpYGXUskP/lsFEUf+fQQBEHEkn7P7C2lzQmVlVEPwm6349vf/jZkWcZvfvObgGsuXryIhQsXYvHixbjvvvv49YWFhaipqeH/f/3116OpqQlPPvmknz7Il9WrV/vdx2w2U+CTwlCmhyDEQpZlLP/Dx+gdcOCFZdcjJ12v9JaICOkXZAxFWEFPYWEhtFotWlpa/K5vaWlBSUlJwPuUlJSEtJ4FPOfOncO7774bMMvT1NSEb3zjG5g3bx6ef/75YfdbXl6O2traoLcbjUYYjcZhj0OkBjRpnSDEQpIk7P+yE/12J8wDdgp6VEyfGgeOGgwGlJWVYe/evfw6l8uFvXv3oqKiIuB9Kioq/NYDQG1trd96FvCcOnUK77zzDgoKCq44zsWLF3HTTTehrKwML774IjSa4bfe0NCAkSNHhvr0iBTH16cnTH0/QRBxIsPjlN4z4FB4J0Q0MJ8eVWV6AKCmpgbLli3DnDlzMHfuXDz99NOwWCxYvnw5AGDp0qUYPXo0Nm7cCAB44IEHcOONN2LTpk1YtGgRXnnlFRw8eJBnaux2O+644w4cOnQIb7zxBpxOJ9f75Ofnw2Aw8IBn3Lhx+OUvf4m2tja+H5Yxeumll2AwGDB79mwAwM6dO7F161b8/ve/j+LPQ6QSLOixOlzoszn5ly1BEMqRZdKhvdeKXisFPWqGOzKrTdNz5513oq2tDWvXrkVzczNmzZqFPXv2cLFyY2OjXxZm3rx52LZtG9asWYNHHnkEkyZNwq5duzBt2jQA7gwOExsPbjV/7733cNNNN6G2thanT5/G6dOnMWbMGL81vr/IN2zYgHPnzkGn02Hy5MnYvn077rjjjnCfIpGipBu0MOo0sDpc6LTYKOghCAHI9HwOLRT0qBruyKxwpidsn55khnx6iHkb96KpewC7Vn4Vs0pzld4OQaQ8dz1fh4++7MSzS2bjtpmjlN4OESE3b3ofX7ZZsP3+G1B+1ZUSlmiJi08PQSQ7bOjoZXJlJgghyDS6xcu9pOlRNd7uLRXO3iKIZIV59dDQUYIQgyyT+yTZayX/LDXjLW8pG3ZQ0EMQPpBXD0GIRYbRrQHptToV3gkRDd6Bo5TpIQhhYENHKdNDEGJA5S3143TJsDk8YyjU5NNDEMlOQSYZFBKESFB5S/2w0hagvE8PBT0E4QN3ZaZMD0EIQYbnJGmh8pZqYSJmADDqSNNDEMLAg54+CnoIQgQyTe7yVg/59KgWX2NCSZIU3QsFPQThQwFleghCKJg5Ye8AlbfUiigjKAAKegjCDxo6ShBiwTQ9VN5SL302d5ZO6WGjAAU9BOFHgcenp8fqgNVBX7IEoTRsHAzN3lIvlOkhCEHJMumg1bhrzpctlE4nCKXJ5FPW6fOoVrimh4IeghALjUby8eohg0KCUBpe3rI5QaMi1Ql3Y6byFkGIB4mZCUIcWHnL6ZIxYHcpvBsiEvoo00MQ4kJePQQhDul6LViXcw8ZFKqSAdL0EIS4sEnrFPQQhPJoNBIyDaxtncTMaoRleqh7iyAEhMpbBCEWrMRFbevqhAmZKdNDEAJCQ0cJQiwyPWJmKm+pkwESMhOEuNDQUYIQC68rM5W31IhXyKxTeCcU9BDEFZCQmSDEwtu2TkGPGqGWdYIQGBb0kE8PQYhBBgmZVQ1peghCYNgoist9pB8gCBHwanoo6FEjlOkhCIFhmZ7LfTY4XeQASxBKk8m7tyjoUSNs4CiZExKEgOSl6wEAsgx09ZGuhyCUhoTM6qbf46RNmR6CEBCdVoOcNHfgQ2JmglAeKm+pm37K9BCE2BRkkFcPQYgClbfUDdf0UNBDEGJCbesEIQ68vEVBjyph3VtU3iIIQcm
"text/plain": [
"<Figure size 640x480 with 1 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"plt.figure()\n",
"plt.plot(dist_history)\n",
"plt.show()"
]
},
{
"cell_type": "code",
"execution_count": 276,
"metadata": {},
"outputs": [
{
"data": {
"image/png": "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
"text/plain": [
"<Figure size 640x480 with 2 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
},
{
"data": {
"image/png": "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
"text/plain": [
"<Figure size 640x480 with 1 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"plt.figure()\n",
"plt.scatter(pos_history[:, 0], pos_history[:, 1], c=x)\n",
"plt.colorbar()\n",
"plt.show()\n",
"\n",
"\n",
"plt.figure()\n",
"plt.plot(mass_history)\n",
"plt.show()"
]
},
{
"cell_type": "code",
"execution_count": 148,
"metadata": {},
"outputs": [],
"source": [
"nsnap0 = 944\n",
"k = cats[nsnap0][\"hid_to_array_index\"][hid]\n",
"pos = cats[nsnap0][\"cartesian_pos\"][k]"
]
},
{
"cell_type": "code",
"execution_count": 166,
"metadata": {},
"outputs": [],
"source": [
"nsnap = 939\n",
"cats[nsnap0][\"dist\"][k]\n",
"indxs = cats[nsnap].select_in_box(pos, 5)\n",
"nearby_pos = cats[nsnap][\"cartesian_pos\"][indxs]\n",
"nearby_mass = cats[nsnap][\"summed_mass\"][indxs]"
]
},
{
"cell_type": "code",
"execution_count": 167,
"metadata": {},
"outputs": [
{
"data": {
"image/png": "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
"text/plain": [
"<Figure size 640x480 with 2 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"plt.figure()\n",
"plt.scatter(nearby_pos[:, 0], nearby_pos[:, 1], c=np.log10(nearby_mass))\n",
"plt.colorbar()\n",
"\n",
"plt.scatter(pos[0], pos[1], c=\"r\", marker=\"x\")\n",
"plt.xlim(pos[0] - 5, pos[0] + 5)\n",
"plt.show()"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 50,
"metadata": {},
"outputs": [
{
"data": {
"image/png": "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
"text/plain": [
"<Figure size 640x480 with 1 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"plt.figure()\n",
"plt.plot(mass_history)\n",
"\n",
"plt.show()"
]
},
{
"cell_type": "code",
"execution_count": 51,
"metadata": {},
"outputs": [
{
"data": {
"image/png": "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
"text/plain": [
"<Figure size 640x480 with 2 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"plt.figure()\n",
"plt.scatter(dist, rank, c=rank)\n",
"plt.colorbar()\n",
"\n",
"\n",
"plt.show()"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 147,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"array([0.03469291, 1.4941071 , 1.6137327 , 1.9338818 , 1.9451369 ,\n",
" 1.9745505 , 2.1557822 , 2.1732695 , 2.1866972 , 2.188077 ,\n",
" 2.1966248 , 2.2173362 , 2.2315993 , 2.2622092 , 2.3083584 ,\n",
" 2.3235521 , 2.3334928 , 2.3339765 , 2.3409498 , 2.3531873 ,\n",
" 2.3956246 , 2.4191911 , 2.4279647 , 2.44543 , 2.4778795 ,\n",
" 2.4828646 , 2.4840972 , 2.5520175 , 2.5533767 , 2.5614178 ,\n",
" 2.5633898 , 2.569979 , 2.585207 , 2.6075015 , 2.6134186 ,\n",
" 2.6162403 , 2.6280391 , 2.6483216 , 2.6745644 , 2.675266 ,\n",
" 2.7104652 , 2.710785 , 2.717791 , 2.723212 , 2.7351549 ,\n",
" 2.7434163 , 2.760779 , 2.786919 , 2.8479278 , 2.855215 ,\n",
" 2.8956635 , 2.9201431 , 2.9495463 , 2.9762506 , 3.0421073 ,\n",
" 3.051291 , 3.1273162 , 3.2203753 , 3.2434456 , 3.3662832 ,\n",
" 3.4216032 , 3.4361768 , 3.4840217 , 3.5633564 , 3.9444454 ],\n",
" dtype=float32)"
]
},
"execution_count": 147,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"dist"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 144,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"array([15.143617 , 11.506105 , 12.061865 , 11.754903 , 12.1637535,\n",
" 11.706644 , 11.527555 , 11.81453 , 12.305587 , 12.008193 ,\n",
" 12.468391 , 12.135068 , 12.20031 , 12.3106165, 12.231938 ,\n",
" 11.548329 , 12.199055 , 12.237394 , 12.008322 , 12.201574 ,\n",
" 11.60354 , 12.199569 , 11.6475315, 12.25819 , 12.761718 ,\n",
" 12.20017 , 12.319948 , 11.594081 , 12.582169 , 12.207142 ,\n",
" 12.232144 , 12.207256 , 12.191118 , 12.230139 , 12.195937 ,\n",
" 12.181732 , 12.241584 , 11.854617 , 12.172837 , 11.583755 ,\n",
" 11.785564 , 12.170507 , 12.247758 , 12.217982 , 12.198726 ,\n",
" 12.500331 , 12.096592 , 11.642915 , 12.206478 , 12.189644 ,\n",
" 12.199734 , 12.29456 , 13.210453 , 12.040288 , 12.19934 ,\n",
" 11.566858 , 11.664642 , 12.346448 , 11.688704 , 12.551069 ,\n",
" 12.198501 , 12.084832 , 12.190776 , 12.184218 , 12.298596 ],\n",
" dtype=float32)"
]
},
"execution_count": 144,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"np.log10(dx)"
]
},
{
"cell_type": "code",
"execution_count": 143,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"15.47017"
]
},
"execution_count": 143,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"np.log10(mass)"
]
},
{
"cell_type": "code",
"execution_count": 140,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"array([0.3265535, 3.9640648, 3.408305 , 3.7152677, 3.3064172, 3.7635257,\n",
" 3.9426146, 3.6556396, 3.1645837, 3.4619775, 3.001779 , 3.3351023,\n",
" 3.2698607, 3.1595533, 3.2382321, 3.9218407, 3.2711155, 3.2327757,\n",
" 3.461849 , 3.2685957, 3.8666298, 3.2706015, 3.8226388, 3.21198 ,\n",
" 2.7084525, 3.2700012, 3.1502216, 3.876089 , 2.888002 , 3.263028 ,\n",
" 3.2380261, 3.262914 , 3.279052 , 3.2400315, 3.2742333, 3.2884378,\n",
" 3.2285862, 3.615553 , 3.297333 , 3.8864155, 3.684606 , 3.299663 ,\n",
" 3.2224126, 3.252188 , 3.2714448, 2.9698398, 3.3735788, 3.8272555,\n",
" 3.2636924, 3.2805262, 3.2704363, 3.1756098, 2.2597172, 3.4298823,\n",
" 3.2708306, 3.9033115, 3.8055282, 3.1237218, 3.7814672, 2.9191008,\n",
" 3.27167 , 3.3853385, 3.2793946, 3.2859516, 3.1715744],\n",
" dtype=float32)"
]
},
"execution_count": 140,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"np.abs(np.log10(dx / mass))"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 107,
"metadata": {},
"outputs": [
{
"data": {
"image/png": "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
"text/plain": [
"<Figure size 640x480 with 1 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"plt.figure()\n",
"plt.scatter(dist, dm)\n",
"plt.show()"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 133,
"metadata": {},
"outputs": [],
"source": [
"pcat = csiborgtools.read.CSiBORGPHEWCatalogue(\n",
" 940, 7468, paths, bounds={\"dist\": (None, 155.5), \"is_main\": True})"
]
},
{
"cell_type": "code",
"execution_count": 134,
"metadata": {},
"outputs": [],
"source": [
"k = np.argmax(pcat[\"summed_mass\"])"
]
},
{
"cell_type": "code",
"execution_count": 135,
"metadata": {},
"outputs": [],
"source": [
"# center = pcat[\"cartesian_pos\"][k]"
]
},
{
"cell_type": "code",
"execution_count": 136,
"metadata": {},
"outputs": [],
"source": [
"indxs = pcat.select_in_box(center, 10)"
]
},
{
"cell_type": "code",
"execution_count": 137,
"metadata": {},
"outputs": [],
"source": [
"pos = pcat[\"cartesian_pos\"][indxs]\n",
"mass = np.log10(pcat[\"summed_mass\"][indxs])"
]
},
{
"cell_type": "code",
"execution_count": 138,
"metadata": {},
"outputs": [
{
"data": {
"image/png": "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
"text/plain": [
"<Figure size 640x480 with 2 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"plt.figure()\n",
"plt.scatter(pos[:, 0], pos[:, 1], c=mass)\n",
"plt.colorbar()\n",
"plt.scatter(center[0], center[1], c=\"r\", marker=\"x\")\n",
"\n",
"\n",
"plt.show()"
]
},
{
"cell_type": "code",
"execution_count": 55,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"0.34072953251081034"
]
},
"execution_count": 55,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"pcat[\"is_main\"].sum() / len(pcat)"
]
},
{
"cell_type": "code",
"execution_count": 46,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"['940/index',\n",
" '940/mass_cl',\n",
" '940/parent',\n",
" '940/summed_mass',\n",
" '940/ultimate_parent',\n",
" '940/x',\n",
" '940/y',\n",
" '940/z',\n",
" 'cartesian_pos',\n",
" 'spherical_pos',\n",
" 'dist']"
]
},
"execution_count": 46,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"pcat.keys()"
]
},
{
"cell_type": "code",
"execution_count": 42,
"metadata": {},
"outputs": [],
"source": [
"x = pcat[\"mass_cl\"]"
]
},
{
"cell_type": "code",
"execution_count": 43,
"metadata": {},
"outputs": [],
"source": [
"y = pcat[\"summed_mass\"]"
]
},
{
"cell_type": "code",
"execution_count": 44,
"metadata": {},
"outputs": [
{
"data": {
"image/png": "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
"text/plain": [
"<Figure size 640x480 with 1 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"plt.figure()\n",
"plt.scatter(x, y, s=1)\n",
"\n",
"plt.xscale(\"log\")\n",
"plt.yscale(\"log\")\n",
"plt.show()"
]
},
{
"cell_type": "code",
"execution_count": 23,
"metadata": {},
"outputs": [],
"source": [
"indxs = pcat.select_in_box([338.85, 338.85, 338.85], 40, False)"
]
},
{
"cell_type": "code",
"execution_count": 24,
"metadata": {},
"outputs": [],
"source": [
"pos = pcat[\"cartesian_pos\"][indxs]\n",
"\n",
"# indxs"
]
},
{
"cell_type": "code",
"execution_count": 26,
"metadata": {},
"outputs": [
{
"ename": "AttributeError",
"evalue": "'list' object has no attribute 'keys'",
"output_type": "error",
"traceback": [
"\u001b[0;31m---------------------------------------------------------------------------\u001b[0m",
"\u001b[0;31mAttributeError\u001b[0m Traceback (most recent call last)",
"\u001b[1;32m/mnt/zfsusers/rstiskalek/csiborgtools/notebooks/test.ipynb Cell 6\u001b[0m line \u001b[0;36m1\n\u001b[0;32m----> <a href='vscode-notebook-cell://ssh-remote%2Bglamdring.physics.ox.ac.uk/mnt/zfsusers/rstiskalek/csiborgtools/notebooks/test.ipynb#Y112sdnNjb2RlLXJlbW90ZQ%3D%3D?line=0'>1</a>\u001b[0m indxs\u001b[39m.\u001b[39;49mkeys()\n",
"\u001b[0;31mAttributeError\u001b[0m: 'list' object has no attribute 'keys'"
]
}
],
"source": [
")"
]
},
{
"cell_type": "code",
"execution_count": 25,
"metadata": {},
"outputs": [
{
"data": {
"image/png": "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
"text/plain": [
"<Figure size 640x480 with 1 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"plt.figure()\n",
"plt.scatter(pos[:, 0], pos[:, 2])\n",
"\n",
"plt.show()"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 105,
"metadata": {},
"outputs": [],
"source": [
"clumparr = reader.read_phew_clumps(951, 7444, True)\n",
"\n",
"# clindex = clumparr['index']\n",
"# clindex_to_array_index = {clindex[i]: i for i in range(clindex.size)}"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 103,
"metadata": {},
"outputs": [
{
"name": "stderr",
"output_type": "stream",
"text": [
"Ultimate clump: 100%|██████████| 541043/541043 [00:00<00:00, 1486022.37it/s]\n"
]
}
],
"source": [
"x, y = reader.find_parents(clumparr, verbose=True)"
]
},
{
"cell_type": "code",
"execution_count": 98,
"metadata": {},
"outputs": [
{
"data": {
"image/png": "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
"text/plain": [
"<Figure size 640x480 with 1 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"m = clumparr[\"index\"] == clumparr[\"parent\"]\n",
"\n",
"plt.figure()\n",
"plt.scatter(clumparr[\"mass_cl\"][m], y[m], s=1)\n",
"\n",
"plt.xscale('log')\n",
"plt.yscale('log')\n",
"\n",
"plt.show()"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 55,
"metadata": {},
"outputs": [],
"source": [
"clindex = clumparr[\"index\"]\n",
"parindex = clumparr[\"parent\"]\n",
"\n",
"clindex_to_array_index = {clindex[i]: i for i in range(clindex.size)}"
]
},
{
"cell_type": "code",
"execution_count": 70,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"74641 57778\n",
"74641 57778\n",
"57778 57675\n"
]
},
{
"data": {
"text/plain": [
"(57675, 57675)"
]
},
"execution_count": 70,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"i = 2333\n",
"\n",
"cl = clindex[i]\n",
"par = parindex[i]\n",
"print(cl, par)\n",
"\n",
"while cl != par:\n",
" print(cl, par)\n",
"\n",
" element = clindex_to_array_index[par]\n",
"\n",
" cl = clindex[element]\n",
" par = parindex[element]\n",
" \n",
"cl, par"
]
},
{
"cell_type": "code",
"execution_count": 75,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"(57675, 0, 57675, 2235., 328.36127, 247.63182, 338.77762, 80.28267, 227150.55, 515.31525, 2.6499586e+12, 209.85902)"
]
},
"execution_count": 75,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"clumparr[1338]"
]
},
{
"cell_type": "code",
"execution_count": 74,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"1338"
]
},
"execution_count": 74,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"clindex_to_array_index[57675]"
]
},
{
"cell_type": "code",
"execution_count": 57,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"(16, 16)"
]
},
"execution_count": 57,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"cl, par"
]
},
{
"cell_type": "code",
"execution_count": 49,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"(283, 0, 244, 3475., 330.3978, 200.27946, 299.4979, 80.01514, 166588.81, 533.9489, 5.616282e+12, 34.512917)"
]
},
"execution_count": 49,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"clumparr[92]"
]
},
{
"cell_type": "code",
"execution_count": 53,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"66"
]
},
"execution_count": 53,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"clindex_to_array_index[244]"
]
},
{
"cell_type": "code",
"execution_count": 54,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"(244, 1, 16, 2946., 330.4896, 200.48395, 299.27054, 81.122246, 184821.58, 539.8623, 4.571406e+12, 38.29027)"
]
},
"execution_count": 54,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"clumparr[66]"
]
},
{
"cell_type": "code",
"execution_count": 44,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"(283, 0, 244, 3475., 330.3978, 200.27946, 299.4979, 80.01514, 166588.81, 533.9489, 5.616282e+12, 34.512917)"
]
},
"execution_count": 44,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"clumparr[]"
]
},
{
"cell_type": "code",
"execution_count": 47,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"13"
]
},
"execution_count": 47,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"clindex_to_array_index[16]"
]
},
{
"cell_type": "code",
"execution_count": 48,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"(16, 0, 16, 6257., 330.06946, 200.00058, 299.66724, 80.11476, 782089.5, 536.5994, 9.229422e+12, 107.78266)"
]
},
"execution_count": 48,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"clumparr[13]"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 26,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"array([ True, False, False, ..., False, False, False])"
]
},
"execution_count": 26,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"x[0] == clumparr[\"index\"]"
]
},
{
"cell_type": "code",
"execution_count": 31,
"metadata": {},
"outputs": [
{
"data": {
"image/png": "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
"text/plain": [
"<Figure size 640x480 with 1 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"m = clumparr[\"index\"] == clumparr[\"parent\"]\n",
"\n",
"plt.figure()\n",
"plt.scatter(clumparr[\"mass_cl\"][~m], y[~m], s=0.5)\n",
"\n",
"plt.axline((1e12, 1e12), slope=1, color=\"black\", linestyle=\"--\")\n",
"\n",
"plt.xscale(\"log\")\n",
"plt.yscale(\"log\")\n",
"\n",
"plt.show()"
]
},
{
"cell_type": "code",
"execution_count": 71,
"metadata": {},
"outputs": [],
"source": [
"clindex_to_array_index = {clindex[i]: i for i in range(clindex.size)}"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": [
"a"
]
},
{
"cell_type": "code",
"execution_count": 110,
"metadata": {},
"outputs": [
{
"name": "stderr",
"output_type": "stream",
"text": [
"<__array_function__ internals>:200: RuntimeWarning: invalid value encountered in cast\n",
"Ultimate clump: 100%|██████████| 541043/541043 [00:00<00:00, 1174427.26it/s]\n",
" 73%|███████▎ | 395059/541043 [00:22<00:08, 17753.93it/s]"
]
},
{
"ename": "",
"evalue": "",
"output_type": "error",
"traceback": [
"\u001b[1;31mThe Kernel crashed while executing code in the the current cell or a previous cell. Please review the code in the cell(s) to identify a possible cause of the failure. Click <a href='https://aka.ms/vscodeJupyterKernelCrash'>here</a> for more info. View Jupyter <a href='command:jupyter.viewOutput'>log</a> for further details."
]
}
],
"source": [
"clumparr2 = reader.read_phew_clumps(951, 7444, True)"
]
},
{
"cell_type": "code",
"execution_count": 109,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"0.339305748341629"
]
},
"execution_count": 109,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"m.sum() / m.size"
]
},
{
"cell_type": "code",
"execution_count": 107,
"metadata": {},
"outputs": [
{
"data": {
"image/png": "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
"text/plain": [
"<Figure size 640x480 with 1 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"plt.figure()\n",
"\n",
"m = clumparr2[\"index\"] == clumparr2[\"parent\"]\n",
"\n",
"\n",
"# plt.scatter(clumparr2[\"mass_cl\"][m], clumparr2[\"summed_mass\"][m], s=1)\n",
"plt.scatter(clumparr2[\"mass_cl\"][~m], clumparr2[\"summed_mass\"][~m], s=1)\n",
"\n",
"plt.axline((1e12, 1e12), slope=1, color=\"black\", linestyle=\"--\")\n",
"\n",
"\n",
"plt.xscale(\"log\")\n",
"plt.yscale(\"log\")\n",
"\n",
"\n",
"plt.show()"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 83,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"array([ 1, 2, 3, ..., 21824378, 21824463, 21825308],\n",
" dtype=int32)"
]
},
"execution_count": 83,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"clindex"
]
},
{
"cell_type": "code",
"execution_count": 86,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"1.22 µs ± 15 ns per loop (mean ± std. dev. of 7 runs, 1,000,000 loops each)\n"
]
}
],
"source": [
"par = 21824378\n",
"\n",
"%timeit clindex_to_array_index[par]"
]
},
{
"cell_type": "code",
"execution_count": 85,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"541040"
]
},
"execution_count": 85,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"clindex_to_array_index[par]"
]
},
{
"cell_type": "code",
"execution_count": 87,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"2.69 ms ± 130 µs per loop (mean ± std. dev. of 7 runs, 100 loops each)\n"
]
}
],
"source": [
"%timeit numpy.where(clindex == par)[0][0]"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 18,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"2"
]
},
"execution_count": 18,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"numpy.where(clumparr[\"index\"] == 3)[0][0]"
]
},
{
"cell_type": "code",
"execution_count": 25,
"metadata": {},
"outputs": [],
"source": [
"def is_sorted_np(arr):\n",
" return np.all(arr[:-1] <= arr[1:])"
]
},
{
"cell_type": "code",
"execution_count": 29,
"metadata": {},
"outputs": [],
"source": [
"from numba import jit"
]
},
{
"cell_type": "code",
"execution_count": 47,
"metadata": {},
"outputs": [],
"source": [
"def is_sorted(arr):\n",
" for i in range(1, len(arr)):\n",
" if arr[i-1] > arr[i]:\n",
" return False\n",
" return True\n",
"\n",
"@jit(nopython=True, boundscheck=False, fastmath=True)\n",
"def is_sorted2(arr):\n",
" for i in range(1, len(arr)):\n",
" if arr[i-1] > arr[i]:\n",
" return False\n",
" return True"
]
},
{
"cell_type": "code",
"execution_count": 49,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"True"
]
},
"execution_count": 49,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"is_sorted2(clumparr[\"index\"])"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 28,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"2.59 ms ± 85.3 µs per loop (mean ± std. dev. of 7 runs, 100 loops each)\n"
]
}
],
"source": [
"%timeit is_sorted_np(clumparr[\"index\"])"
]
},
{
"cell_type": "code",
"execution_count": 20,
"metadata": {},
"outputs": [],
"source": [
"ks = np.argsort(clumparr[\"index\"])"
]
},
{
"cell_type": "code",
"execution_count": 24,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"True"
]
},
"execution_count": 24,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"np.alltrue(clumparr[\"index\"][ks] == clumparr[\"index\"])"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 10,
"metadata": {},
"outputs": [
{
"name": "stderr",
"output_type": "stream",
"text": [
"Ultimate clump: 6%|▌ | 33740/541043 [01:01<15:18, 552.21it/s] \n"
]
},
{
"ename": "KeyboardInterrupt",
"evalue": "",
"output_type": "error",
"traceback": [
"\u001b[0;31m---------------------------------------------------------------------------\u001b[0m",
"\u001b[0;31mKeyboardInterrupt\u001b[0m Traceback (most recent call last)",
"\u001b[1;32m/mnt/zfsusers/rstiskalek/csiborgtools/notebooks/test.ipynb Cell 5\u001b[0m line \u001b[0;36m1\n\u001b[0;32m----> <a href='vscode-notebook-cell://ssh-remote%2Bglamdring.physics.ox.ac.uk/mnt/zfsusers/rstiskalek/csiborgtools/notebooks/test.ipynb#W6sdnNjb2RlLXJlbW90ZQ%3D%3D?line=0'>1</a>\u001b[0m reader\u001b[39m.\u001b[39;49mfind_parents(clumparr, verbose\u001b[39m=\u001b[39;49m\u001b[39mTrue\u001b[39;49;00m)\n",
"File \u001b[0;32m~/csiborgtools/csiborgtools/read/readsim.py:426\u001b[0m, in \u001b[0;36mCSiBORGReader.find_parents\u001b[0;34m(self, clumparr, verbose)\u001b[0m\n\u001b[1;32m 422\u001b[0m par \u001b[39m=\u001b[39m parindex[i] \u001b[39m# First we try the parent of this clump\u001b[39;00m\n\u001b[1;32m 423\u001b[0m \u001b[39mwhile\u001b[39;00m tocont:\n\u001b[1;32m 424\u001b[0m \u001b[39m# The element of the array corresponding to the parent clump to\u001b[39;00m\n\u001b[1;32m 425\u001b[0m \u001b[39m# the one we're looking at\u001b[39;00m\n\u001b[0;32m--> 426\u001b[0m element \u001b[39m=\u001b[39m numpy\u001b[39m.\u001b[39;49mwhere(clindex \u001b[39m==\u001b[39;49m par)[\u001b[39m0\u001b[39m][\u001b[39m0\u001b[39m]\n\u001b[1;32m 427\u001b[0m \u001b[39m# We stop if the parent is its own parent, so a main halo. Else\u001b[39;00m\n\u001b[1;32m 428\u001b[0m \u001b[39m# move onto the parent of the parent. Eventually this is its\u001b[39;00m\n\u001b[1;32m 429\u001b[0m \u001b[39m# own parent and we stop, with ultimate parent=par\u001b[39;00m\n\u001b[1;32m 430\u001b[0m \u001b[39mif\u001b[39;00m clindex[element] \u001b[39m==\u001b[39m clindex[element]:\n",
"File \u001b[0;32m<__array_function__ internals>:177\u001b[0m, in \u001b[0;36mwhere\u001b[0;34m(*args, **kwargs)\u001b[0m\n",
"\u001b[0;31mKeyboardInterrupt\u001b[0m: "
]
}
],
"source": [
"reader.find_parents(clumparr, verbose=True)"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
}
],
"metadata": {
"kernelspec": {
"display_name": "venv_csiborg",
"language": "python",
"name": "python3"
},
"language_info": {
"codemirror_mode": {
"name": "ipython",
"version": 3
},
"file_extension": ".py",
"mimetype": "text/x-python",
"name": "python",
"nbconvert_exporter": "python",
"pygments_lexer": "ipython3",
"version": "3.11.4"
}
},
"nbformat": 4,
"nbformat_minor": 2
}